set diseqc repeats in diseqc 1.0 to 0 (this fixes a strange diseqc bug in advanced...
[vuplus_dvbapp] / lib / base / message.cpp
2005-06-08 Andreas Monznermerge some code with enigma code
2003-10-17 Felix Domkeimport of enigma2