From 02809fa01ec1684c77c9376a13719159043ba5cc Mon Sep 17 00:00:00 2001 From: kos Date: Fri, 23 Dec 2011 18:27:38 +0900 Subject: [PATCH] update plugin. - webbrowser : add german keyboard. - fpgaupgrade : fix upgrade status and add error message. - firmwareupgrade : fix upgrade status and add error message. --- .../Extensions/WebBrowser/keymap/Makefile.am | 2 +- .../Plugins/Extensions/WebBrowser/keymap/ch.qmap | Bin 0 -> 102424 bytes .../Plugins/Extensions/WebBrowser/keymap/de.qmap | Bin 101272 -> 100888 bytes .../Plugins/Extensions/WebBrowser/keymap/us.qmap | Bin 0 -> 100456 bytes lib/python/Plugins/Extensions/WebBrowser/plugin.py | 32 ++++++++++++--------- .../Plugins/SystemPlugins/FPGAUpgrade/_fpga.so | Bin 43782 -> 76456 bytes .../Plugins/SystemPlugins/FPGAUpgrade/fpga.py | 3 ++ .../Plugins/SystemPlugins/FPGAUpgrade/plugin.py | 19 ++++++++---- .../Plugins/SystemPlugins/FirmwareUpgrade/_fu.so | Bin 51460 -> 51700 bytes .../SystemPlugins/FirmwareUpgrade/plugin.py | 20 +++++++------ 10 files changed, 49 insertions(+), 27 deletions(-) create mode 100644 lib/python/Plugins/Extensions/WebBrowser/keymap/ch.qmap create mode 100644 lib/python/Plugins/Extensions/WebBrowser/keymap/us.qmap diff --git a/lib/python/Plugins/Extensions/WebBrowser/keymap/Makefile.am b/lib/python/Plugins/Extensions/WebBrowser/keymap/Makefile.am index 27d1bc7..481d8ee 100644 --- a/lib/python/Plugins/Extensions/WebBrowser/keymap/Makefile.am +++ b/lib/python/Plugins/Extensions/WebBrowser/keymap/Makefile.am @@ -1,3 +1,3 @@ installdir = $(datadir)/keymaps/vuplus -install_DATA = de.qmap +install_DATA = de.qmap ch.qmap us.qmap diff --git a/lib/python/Plugins/Extensions/WebBrowser/keymap/ch.qmap b/lib/python/Plugins/Extensions/WebBrowser/keymap/ch.qmap new file mode 100644 index 0000000000000000000000000000000000000000..9481834bb9bd364fc2ea22a8becb910f7c542a84 GIT binary patch literal 102424 zcmYhk$#Y)izMj2o)Au%gFWJJ#Ao>lP9yh|sAi!XHFxW`I*^m88;VkUB|b86_E{k_=L5W*H?Jq>}IcUC*U;e%>YP+P9ze=zbrq_gc^U%3i$i z?4je+H0_wC#aHq<^ndZ)|*17@K@k--68sFLYF1h;O-T0oy_cp$-@oyU6 z-}r&Xzm=>1gN+|*{BYw(8b8|jvBr-#enPJP2OA%1e7NzE#;c8=Y<#rwQ*!lRYkaKn z(~X~Lyx#cP#?Li=UatQ2q~3m>zR>u^#wQxT)cEDbNWtg(tLD}JwZ^YEexvc5jo)f~ zvhmxE-;t~Tsm7-ppK1JVUwx$!TJe=XiQ)zg)yY`7F~dp(};-_7rT zcjw^0luv(Y*Wi2N^7^PTx99Wi)vxBM9G{zCIP$9U6Mo(~G0*OnZIleW=w;sDN#xVb4n}2WPeR9pS-1tCaeCYhO81=aF`#t#0^a3qW}EZF#ylS#^YO@8f1Y2i z{}&@?eRzKPxOjfK{ybk?hwq&y{qN!VV$Snr*Hqs^zKzqasZN)@J8Jx{>2Kuszp)cu zd^1Y?Kb-#=pLyz|#_Y}Ky`2B%od4z*)|j)VIxRRg!gYGx#{6eZe>40yng6Wm_u=0& z|5;-{#{NoXeSv|zr*uA^N06c>pYe7e0L1b z_i(zubAw}w*3_gu zE3a>O%~^cAT%A`Mv%kui%GqDZ?5{k3@Q>$DJs~nD=MN{Y=MN{D=LY*Lnf;Z_{z_(l z<#~dyJWn1P^K)L|D|396W9yuGlre+ZUzxMNlAmy%e7f)8V9x(TgXw>G@bB~K_ebDy z{;xJ>e`RO(S2Fu6`>&08IRB3grvK9|f5thh|9WHgS9WH9C9}WE_aZ~z!JnT0FL3P0 zUu=9ruJeceRgNuYe)gP%p8u~qPv!X5G59uI=gC`+DQ16V&i+cS;@ju; zsllB8r(1re@w;+$-e}DJDsxuO{z_(l6|SC}V?5{ohaOw`M~y$0tMgW4_E&ahey4m9L)Li6+F%#_E(-K?5||@S2Fu6&mXQAp66UI%(-5ebG`7~sEg_( zv%iwrU&+7Ln6syPD)Mv;|L5?3-ciy&d-@0Y{T~#Dm-744uEB3*o$I4=82`)oUp{w? z`AycjKKNS2b$;hq@(TtpC11k-IX3HGAIx8j|IP8gjQ{PA|LwoK^~eAAzuY;i{}qk# zzn$^FjQ{P4|7H9y%83fK;sf#=Al3Sx7*6d`Cj0EbNnykf7c)XyZ-mI{`lYZzt1^q{W;<6 ziT`E%FXMmL8UM@pU&jCT$NzSFwDrgT=J;QJVvJ$^4-Wok`Si~Ywf=`k&iWr2%sIc> z82{TD|I1H}F|7Yu<718Szy0yQ-PWDI&VT%Gj{jx+@A~8avnTq$(E8(l*Z+ib*80EH z82{TD|I7H_b;kcP{+IE;{qeuu-fI2vzd8Pw@xT2~jq&t9-TI#yIqUzfb5{S2#`xdP z_+S2DjA8vZ8{>cb!ZfslFzr`|9sub@xM9#&#_ta`e6QI{BMr`W&Ce{ z{BQr=<5>FRfBWNqyItY@^;oWKjQ<^j|LuwY?TP=*@xM9#w;TSKZ*=~8Eco9X|J#3I zjA8xR|GWNs$;bNRf4eO?XZ5dxw!atn-!Zq2oONCv%=+Vh`{RH6&{v0kN?f_ zzl{IwkN;hN_W$to7z`0U2#G{*m#KWkneHGY3S@5le< z_}?7=XU?p7eK3D9{x`?}GX6hz`a?$k0sqVRU&jA3{8)D{NW$*zl{GgPu9FXn7EP|2=>3 zf9A}e{)qoQfAGIO@xP4!<$5x0pI4le_Q(IOKmNBf{+A!|*y@b`?TPuQ`96|M=g|_+Q5Vc3XFzdMx`{t^Fs{@{Om;(rt5&l1ag7LqM|7H9?dhWviGX5Vt z;eYw+F+csU8BBltZ)f~3U(cA)AOB~b>W}{iPxxQP|8`p#^V1*yo9}Ho{vX|TE%~+b zz1oHUN6rSs@5_Y$UH|1V5B(1eX8rNM{qg_kwrj=tt3Up?Gya!Lmi7J3?+@aC&ma7s zIg`JM|2=>3|LD03|I7G)@OU9A*Ar{|{NV)k{K5Zr#{cpoj2~-`|1(eZ$Nz&T{4e8w z`yU)%tCnf`&|vx>hR6Aj|3|l7tK{Rkfd5B69sP@Z!vC)S+L)8|KQ@^4$N%=n|D)Tk zb?2%6_}|X>U&jBQC-`5+|1$oU@&D+#3;)abfAEC=zv;pAB_J;KH+~E|GWO1V;)Up6=itAdPk(*a;J9Q`E+vcVEW^KyDd4U9t-|A-`{flZ~x_y)4#q*eSfPn{+IE8xH_*mrWpU5 z<9`|d+aLeC{`YunKApt>u0Q_IvDF#>%lKb@z+-C+{*1XZ$bYe;NPF_}~8c-}QgJ^~e7i zU;RsXbzW6x{4bYCwsZV%j{og{YK&q1Pdh%JPU3(2_Q(G@wmRc~8UM@pU&jCT z$N#SX_pLwv&-m((|HIW8|I0tO$AbUO@&ASV(+Hlv(}hz#RUX6t7fyB9&-nks>CeJ{ zhW|4@U#mW*8lTUPoj>^J@Xz=>#}?yr=fBMH`SjRs$LG_}_}TgKv-9Iy=f}6siEm|m z>--C2PWt0d`{PgN$Dhu>?D*VHa~uFe*ED4_`&&kzT2PY zyL0kFPi>w_#borUo?G?=kHVbn5K)y z{*(Pr#wUA={f~SuW2Rg480#bBrzi6H37#(*6Ml>5i_G&S^XK{dR6dwLW5?^?j7jzu z`yZM8Px|ke_PlUem6mrm-qZN<##c1Hvhh`ouWo!z<7*pV*ZBIzH#EMn@lB0yZoJTV zu`z$y-N&`BX6qZ{Y{eAN=6?!~Vzfhy72+*ZIT#M`r(%`Rn|_C!Rm}BxCCQ!6!04@%(u- zU%bxGI)6$kIX5_e*#F3Nve!A2-)}uQnDhV8VEW@9`{N(`uQH~+{>_}#pZ$;g)R>3< zYtEm}PaJdp>W{B7z8GKGf8F`3Kl>j$v;UFV|M>kn-o7s{G=8!1iN-HAe!1~0jbCm2 zTI1InztQ;3#&0z~+4$|o?=(Ku_;lkljo)p&(fGZ_?>GLS@n+)>8-LXJSs5{ZGc%`NRH4X8+^)kIy~-@p;D7IfKt- zeU3kWYUfW~NVm^__CFb4F8#Igi>G``_PkjC;y9dd!=B?i21fyV-rU)E*I>q<)yALQ z#+=jm+&2EaHvarJ=7Po-w(%FW@fWu-mo&a~@Gt3k0{>q;!T4Xs|1$oU@xP4!W&AJW ze;NPF_+Q5VGX9tGzl{Ion_6f5Z;tBi5r@#}5;vu(_C zjh`Rsa+o}n}TmviFG zIsP}t|1$oU@xP4!W&AJWe;NPF_+Q5VGX9shS|9vxj{jx+@A>oj7|;3t#bD02FB^X~ znDgiBHvXG7=G(@uZ*RQP_>RVRHoi-){_Ow#Uac8fg z;$w~3|2u~Lzs&w$X8$j<|CibShpYeb*5QT5FE&2W_@%}#H-4q@t8#UIt?}!P-)Q`1 zceWh=o8x~O|I7Ga#{c2;-+}+-{C~by<@nzm|I7Ga#{V+@m+^l%eRtr0 z8UM@pU&jA3{+IE;jQ?f)AFiJGU&jA3{+IE;jQ?f)FXMk1|A(vpLY8EE{TCbKf5+f| z8UM@pU&jA3{ts7w{4XDH{>t&cIsTXNzl{H7{4eAGaP`OkGX9tGzl{H7{4e8w8UM@p zKU_WWzl{H7{4e8w8UM@pU&jA3{ts9GgKfdc*7kJcGmYPEjQ>3r|I7Ga#{c2!kN@Qlou_jAZ;t0Nv^h};^uhLWA?pZn4BXh1t;p%@GJx723J-+?-wEmZmG4$tplw<3$a6OW_9?4f{ z;wfC6xgOb*>ybIvBXh1t$*U*VBbn=w%=Jj-dK9ky3$6d+=*;@>ZTx(w>wm!UmEYF#+s&*0O5-~kb3L*@*CYGi-TL$I@m>FWTmSo7f38RN z z;lX?_j6SlZUj5e_Kiin=k^Q+I+5foR zGW2)+dwid>FShr%?g{$Z07{mI1==jP%YWc_J)qkt; zCylut*`Mo?{XcL0`Syga$XwOv3_a6S=Gu5g5B>p$Y|K_`@fAXyDllhqW?8f-tG59~6o=@hZ z#@FX3@xM9#H^=|U)BnkQFn=-rH^=`n{@=6khq^ZLdPLsMu_Nd8h&iuEa%}a-|1$oU z@xOev9jY__wV$=R$LEy z`+0%?9fSYF)pKo(Vg2#HIsUgl{!d>0*PXK%|C{4~8UK6!@Onh9P30u>dPL^+NRF+} z_+Q5VGX9tGf4KVNe|zG8bNp|P|C3i|{4e8w8UM@p-)^T`XI_t(pJ_R-M{;cS-yk1c z-?Z)Z$N!GO|KaMtImWR5_}?7=+aLcYul`%kS&aY9@xP4!b8Ln_iT{&_-^2gr_}?7= zhpRvSm+`-h|7H9iuKxJnp7`G!|C{6g?oQOZn5~(_hQ)e{I*`FVp|Ud{hpf-5CFyW}~JkN@qzxAouG`Y*Nq_&@VhfBY}w|8VtR9^+Ym{BMr`?T`PHSN|2~FUJ4oceWh= zCr|V>{Ur4c-9~Po8y1`kk$Kd~P^ zy<;BMpZ$NvSO4EQe=+_y$Nw_^4_ANuZ%_Ph{_vQG$A$lsS7-b$<9`|d%lO~U_&<3) z7W{Aj!>vF2|BR{5tF8Z&jq$%@@PD}auZ=PE#Q)~_-^YdjlUM(B=Pbtm=J;R6|MtiK z$#d8b_}~67j(J#r_Wv1E{a&|LuRK^=JQ|G1Yma^?$E1{&x)i4_E)qF@~P_-yHw@xbT1S>c8cj#rWSG z|I7H_{`fz64*LQB+n@cv{$&53G1VXc%lKc$|1$m$SAYC(PyBCQUvS&2_&<4d#{V+@ zm+`-h|F4?r%YTFaubS#qcp3j+wfO(e{=aJR|7QPRUn}F&|K)tle0F2}?-=|aPXCwl zQRD0L%lO|M|C{6gkk z$Kd~P^g+G!T3KmN~{>c84LKiL@n zI|l!UtN&W-iT};zy0xl##Dd&FTdRS z<9~DfAFlrR-=6s2{Ehaw@PG2^jQ?f)FXMk1|Jxt`C$Ika-~RaD{`fy*s{cmojQ`E? zzl{IG)qk_~#Q)~_-^YdjlUM&O=P$3KmN~{>W}~BI%wOg z_}?7=hpRvSwvZU+a(m&GEmC|LunV?T`QMkN+LR z`#*NW|1$oU@xP4!?T`QMiT};%lKc$|1$o+W~ztr8UDX!s#EHD{C~~# zKg0iXXF30x>EGn{f3s`wXL0}Y`KTPm|1$oU@qaky{PX#!@%8!n^yAoK{BMr`Gd}Kr zJ|B!J#{cH{U%u2a`Scn7w?F>3KkxtBAOGjr>W}|r{4e8w8UKf?=QZPN(I5Ytc8Y;FUJ4o_+Q5V;p)HK`XBJv%JIMb z@qflw{}sm+<9~DfFW=>ueEJOk+aLeipZEXmkN)3_&;N+Gya$Hzl{H7{2#8K zulco#@xM9#w?F<*UY+s3jQ?f)FXMmLAO9z>{`lYiy#H^1{GTz^e`EB4@xM9#m+^nN zI&ZfAA9`%%_}~8cKjW+amSc+Xzd8Pwt86(fzdw)vlZQXU|MutofBWPAjH&+kU&jA3 z{+IE8xO#r)*DA*U=J?Ssd{Kfd+9RJIg zIwqe!$N%=n|MtiK_Q(G@w)*3L8UM@pU&jC8>Wu&GiT};3KmNBr{?GX8zvMi{_}?7=%lJQB{g=mh)*t_yRvDF#>%lKc$|1$m$SAYC(PyBC=|IP7#^6HQOW&AHc-X0hJ zw=@3Fn0hSu-_H2o$AbSeruwfsPci;C$Nw_^4_E)SF`o6u|K|AL{`fz6^*~Mzz30`Pd9gKJH~u@_yXshT?nfkZKO&j? z5y{+-NalV-nJ15B{P(!cxgU`^{x`p9d|f(lKO%GPMJ)sFzyEK} z`#&=8|6j-J$NcEM9?M|-FXMk1|L53reiQ%8_+Q5V^7YPH*S8xQc1+enj>>)_QV3 zB6Ixj`1RJ4`w^LQKO&j?5qbU`A9Hdp@%R7DdH+Y|{eRC5{4e8w8UM@p-*W^1%lKc$ z|8jltdQR0j^HyX0Z;t-_() zG5&W9{?D=1AOFkvU&jA3{=aVG{K5a8KltD85%(j?_cv4C#{2)~+>c1+enc|&Ba*ov zk<9&wJWueyIrk$n|8YDn&VTMl4O zJNV!6_+Q5Vj>rGkkN-~7xz)!p`2YH8G3&or>$^Qa{?GX2@8EyO<9`|dJ3s!<_*~=O zxpd4^r`JjRfBm?JJpPyQzl{IGx&Ds(vCH^h#{V+@52xEn{4e8w8UM@I+b7+|{n(Qi z<9`|d%lJQB{TDp8xV{NlA9DOJM4A^F$keaGdA#KQwasR$< z_}~8c-#PKWjQ^b<|J(ohF%Rbn{?GR%(MkL-<9`|dhwJ>o|1$oU@xP4!!*$N!e;NPF z_+KuO*Lhp#4E~p^)OL>l&FiGtKF?1zKHV7qXMCcQ_&;3zHyl%p|IP8gjQ_*cf79{B zA2!DSj=}%o>c8cfV*GE8|7HB|_lWz^dH#Pfj^+Hp|DHei-|_fg#{YhQ@xSNK@5Vfw z|M=hY2md=B|9k%6f9J&iGX8gbO4XX<&tGf)8`wi;8UKQ7$acQ7G5)_{`Xqy&rmg9f(95au8@xT4?zl{H7{4eAG%wPT4|I7Ga z#{V+@4_ANuFXMk1|I7GazM=KJu`&L4%+2Iu{TCW9Hpc(<$NxFD`Y$nNF#b2k|1$pf zYb`sz9t-|A$Nw_^msi?&{BMr`W&CfqyIW`cZ+>sf@qdo3{=ael;`b+;Qt(3=gfxdP>lc0@xP4!{aTxjug8M_&GEmC|K+VV9{-!;e;NPV z?eo?d|C@i=a{QlTtMk{+U;ItuZyV!(zt(r=)gS+x|Il*$Z~jxue{TFsWBh+3YrZ(0 zmH){*8t-hJ{|Mha=B&nNH$JEFxsA_je178#8eiD>qQ)0DzNGP`jW27wyYZgJmp8tm z@s*9QYJ7F$YZ_nM_`1f|H@>0qjg4<=d~@T4#*2;jHs05Gsqrn1_cy+^@p9t>jc;pw zd*hYHcQn4U@m-DYZhTMUdmG=^_&1I3Z~Q>x-!^`*@k5OtZv05&M;kxZ`0>V1G(On) zP~*dmk2GFw{AA;!jq@Mvn4d3ejgK{cy74oO*Bd|E__@Z@&aJIR7!{IX~6-bmKFP-)+3nI5$+7=Xt;J2aPuy zf7tk=#veD{Y8+wD^MBenKggZ)ys1C)7mdGc{8i(x8|N>C&c}Y+_;-!JYy5rV{9}Uo z*dH7J)cEJdzcl`}_@=2Yx2Jjk|E8(7K8JY!|EB3bh5r*j|GjDY^YEYZ^WTimH7ie% z{F2%K%jdM5{eSZ8{SW1%#@FXV?ElT#|C_V_Po8Vsp?olZG5ddW_Wv^b|8Pd0zN}uT z*njt6`t$Q&`}6bPjIaLe|7G_7GW&m-{eQSRv;Vgz`+sxx|K{xflUHZ<|1$f3nf<@a z{y$v(7h3ef9=oDe>1-Nv;UXb|I6(EW%mE!>d*e)p6vh4 z+5elf|4&|>+5gMz|7G_7GW&l!^ZtMGdMv#EZ)bk~>to^PzZp~gS6!cC_W$NbTh9JJ zdG%i#IqT2<-<G|1Y!um)ZZz?Ek~npZ&i*+5elf|2Jp=A7b6S3`oc<^B zQODNj6Zk*li}AlX{!gC%C-TA9D#rij_+Q5V;f(wW|Jxt`+aLei|8nQ7{`fz~7UO>z z|I7G4Ts`r>J@LOe{x`?}$*VK|m+`-h|K*$QQ~mM3{qev3@xT4|wf;+u@qgy5$FjfW zx60Ljc`)mb|1-XF{BM8!Z?_eXt;d4@&GEmC|HB#j75=wB{qxF-2@0{9M zpYg@`U&jA3{ts7A{BKYEZ;t=X@qhB_jQ?f)FXMmt9oHnEPT>FK)gS-cAOG9`-PV7j zG5*i7^;qz~{DEVt|K?!UAOB}e<@n$J_&<5|-}2aE{BMr`W&CeX{GU9BeTDzc@xT4+ z54Zh^|1-Wi<9`|d%lJQBJ@LOi@xM9#H^=|Ut26$W@xP4!J|I7Ga#{c2;U(H92ug|Oa-yHv&A<9~DfpS(Kbe;NPF_+Q5VIkx)a|K!yl|Jxt`+n@b^##H|rxV`@P z-yHwT_&;2oH^+GT<9~DfpAIz!|0l2hTh3pM|IP8gjQ?|NhOXlOm-pBuoQ=Ps?@&DqE z-TeFCdM&m%{gwRwSMYzvr+?n&B!}_8`8h4e|H;$&Xg+G5`g|1sn_tj!{GUAikLIJs z!}wpu|1$m$XXN|%-~RaD{`lYi_&?*TKmM2Tzl{H7{2#8)_}~8c-yHv&Wu$o{4e8w8UNcK|0l2h_}~8c-~RYNW2*m#>r;&X&1-`4M&Upk&idnj^N(7N z|C3k$E$1o5|K|8##{c%m|H*UM`}p7f_}~8cKVzyJ{+IE;jQ?f)AFlrR-*v|S=J?+n z|0l1`_+Q5VGX9tG|K6#V=>z<~cdAqNDg3{8$1}74_wIOlzW+nUr~gy=m?jzj%jdNG zTsi%p%14c_&rjk1j4#Ij=J-E(`ahKq<}b$o=J;R6|KW`M0RP(`|Jxt`+aLdDeD%lw zGX9tGzl{IG)f4~Q6aSmze{=kwygK858UM@pU&jC8>W}~JzgX8?`{RH6zy0yQ{qcXs zSAYC3<9`|d%lJQBJ@LOi@xM9#H^=|Ut26$W@xP4!W&Cev{GYrY%b~IUob&kK$AbSe zruwhCKE?Ro{AkOclB@sPVAdc1XME-O-~RYNdG%lS*kb%|j{jx+Z-4xsJcoUN|6OzZ zZ-4xsG1VFW%lKc$|1$m$S5N$JPyBC=|IP7#^6HHLW&AJWe;NPVAO9z>{-@jefHZ$B?;vf}E$Ihggw|K|AL{`fz6_22T?V*GE8|7HAdfBc_3hkbzm zU4Q&Wu$o{4e8w8UOE_YH2p{|GsH0 zytbp(f8UN`@)(%E82_8&e;NPVAOG7Q|Jxt`+aLei4gbsdU&jA3{@xT4?e~#Ue`IlUuV*GE8|K(d5GuC-|aD5-v4zwKq+aLei zZN>TPvEYAm{4e8w`{RH6|6$AVzy0yQ-L@Dr9t-|A z$Nw_^w?F>3KmK?9@xT4?zuoY^jQ?f)FXMmv<9~bNe{=kAj{kFPtv~*k@xP4!W&FQ1 z)e?M!|CgpZ9iPVk<~!?qVZN*QmZ_HMW5(Yy)hYW7<8RsV;C#KfWhxEr=jX*4pKC?_ zYFmEETrcEvTF&(%c^vgjK5Be@ekPBHV~Z~syg$7ELi1cJp2-Jein(57o?@;SGS`c6 zMt;oo!v0(@?9cVW{+Byv_2+t#V~e?7$XqXEt{36z$@RkiTrbSIUS$62&-EgC_2haX zbG?wcUdUW8!qtCad@or4MR=?~*9-ge^Wu!J&P(mFaJ?|EZ`Ahph3iG~>c2d4*8hNG zD!*+o{cks~{ws~SUSyuixn9UzFTxr6G1m*%pX-I|&-KFo_c>?v=X#N2i@9FNTrXs< z7vbv3^}_Y%dSTA>!u98Rk-U0xy^y(H$XqXEt`~Mb*q#fA+WK?7us=U9&au^bwLKQD z7v@J>&h;XB^wnBKmDdwA-!Im`zWMdNtN!baxn7uay^y(H*q`e~@*MUt*9+I5 z>xKPKIA`_edXe$PTrXs<7c$q2aP{PR;resEFz0$_HbG=BO!#?JE;resEus=U9&Y0@Y^+M))A#=Tuxn6{;C)W$tpX-G=*9+I5>qYWf zf36oY*9)2Jh0OJ0KmRm(3;*w*>a<(O|NGm2FL;0Z?*(Uk`sc4R=a-ECW&AJW|8V-R z=cC5g=XLyVj{nUsbk6i&&j<4t3Kd%=uzWU>T8UM@p zU&jC8>Wu&GiT};y|7H9y<9`|d+Zq2Sug8M_?Tr6@EWBRGnCidk`V`}T zbNnyk|8VtR8{=7j{BMr`?f;B(R{!{`lYi_}~7#UdWj0zv226 z<9~DfFXR7k_1_%hS%3U*j{ogn@-C-U|0=T0_}?7=%lO~^_&<4$+QR?#$N%={^+Lu} zXZ$bYe;NPF_&;3z@xMLszd8Ol$N$NzKmM2Tzl{H7{D158-_!ZO4||I6F_SG4@fmS5HKt6P3e%dc(ubuGWX< z{`lYi_}~8d$Kvz)?`!icwfvTr?{9g&QFSceujQ5>X!&g|zrE!vEx)7Xceebl!Su)f z_Q(HzUG5j*_v^kk5BG~O=YA26=YA39+%L$S`$d>P)aK!S5$2Dyocl$XbH51Zd3=oF z-|{~(_+Mp}{uTbeb@~_Ke}VrUf4Gf5(&p!W5zf#3A{@{CBFvv^qLM2Z-4yn_!q~VJl+#+{+C+*a?4+7`Kv8|t>v$`{Ee2s z+48qqezN6nxBQ*K^vD19$N%;})5gEs=GkcZdo6#zazFaW%(_S1?GMcGWUy+dH=^_@xP4!W&AJW{~Vj^?~m;N zW%mCv`+xcR(SgT{|D6Z_%Qv+(!T&k7`Y()Q;l;*#8}t5;^Wc9O|I7Gaj`&%V>c8wf z#RnR*|F=K;e~-ogGX9tGzl{GqfAGKO5B_%y{+IE;jQ?f)FXMk1|I7Ga#{V+@m+`-h z|7H9yKi<|K|1VGfG|TZ%@&EGlPs0BM|2rQ4%lKc$|1$oU@xP4!W&AJWe;NPF_+Q5V zGX9tGzl{Gqe~$Y-&8Hu^UxYdLi;#K$$7AuojQ?f)FXMmD4fg*s`+u4Jzx?Lt!1<5= zod^HR`NKLV8H4|GY;`_0j)hM*KGT@@e>@id%lKc$|1$o!+oto>`Op5}oc+Jd{@-Kq zzl{H7{4e8w&ma8n`Gfx*ga2jxFXMk1|I7Ga#{V+@m+`-h|7H9y<9`|d%fGbs$NvY$ zzXhx1nGQ^Umf!!ZhJ^o+-~Ui=#clJh!GDrZe}Zovk8d51Z)JSz{0rk)=3gAl{P@lB z_|5TmxAFJ1@%J{qZ!moh4rcyCZOq}uM+P(gcpK02#ST1QWS%efIn~CW9!!7EPsek9 zI{x!E{);yL%f??7-^S0s7VH#ycD5hxGH9vl^e>_?*V)Ha@TM`He4Vd|~5@ z8eiP_lE#-d&iy*(Znq48qly^Z%ZUTSvy2c(w79jgK~ds_|OmV~w9~{7mEZ#?Ll>uJQAY zbH9%HvE+UoGv|ICGoNUj`*qBD?$+$V36&HPE@PaA*M zIQQ$A$LD?>Gv|ICGv^=Y&7Avn%$)mm%$)mm%$)mm%$)mm%$)mm%$)mm%$)mm%$)mm z%$)mm%$)mm%$)mm?3iw!mU0!Sk1727{Qi5czqe1P^7`vIufJ|@@4uPz`pcZxU*^32 zGUxSI=Fgs|K5BmW{K3r6>o3Ri`pbEE{bkPUFLPdhne+PV_Nm@p{Q0smC(M4poc(~z ze!zKn{pCEo{xawFmpQM$a%{T)%NJKh);u^_TPT`pbEE{bkPUFLPdhne+O~{%d1S`X6ia^ZLv2 zy#8_?UVoYM`pcZxU*^32^4wrQDYKuH*-y&sC!L4aU(UnpFLPdhne+N9$JRN+>o1wt zUox-1WL|$c53j$Rhu2@`dEqsm|GfUnu}OYDHP!?^-I)EYW7yw153j$RpVwdJy#6xh z^_Sf?owFVbufNQB{U!7I%XxVHo0R& zf0^_8%beF=o{+vJG59a*m^_Sy${pCEo{xawFmpQM$%z6E_GSzh7^Zwh)RNvay zdH-!?`m5o;y0gC4%2Yqje00~~Tz;l(0mxx~{+@X%Kd0sA%31T*^HKBE=hyLn#ur~O z@<+puUg-Gz{OI+3)cEg<`T4ud&);Q!{vOWA?=P#O_TN32{`lYi_&?*TCqI9e`T4ti zm0!2U@bmZN)f4~Q|60dXj{h@%^~e7iU!8AoO!1A4Z)(iX-!neZ_Y1B6V(Y(mjA#Av zzde_nr+V`9ck}%%zg4cD%Y#{e{GaiaR5T!}sEacK+ah&wu=HPk#O`^YeH46~AshMt=UDy!zvR z*Z+0LRF3~WfAD|CSI@Uv|C5d1Zp_c$?SHEEKi&GD8RJ=h{BO?<=c%6j{N4QhmVY2u z&&|QCKmPY|;eY#o?D*=x)tH~Z`?&b|yUfqu?a%vf$#dBEy#MC;^W~U_{$Dv~b>`>q z8DGrL-{o)H7=Heqy!zvR*T239>syikU`su19>@O~pU!zXNDkwFbNnyk|8RP~mXDgJKEHj;`AN-$r>ao;9Zs+*l&iFrL@~7Y7fBPRE<5_?FZ?{$F zsmFr<&GEmC|HIXDZH#CA@xM9#w?F<*UOm^HzZn0U<9`|d+aLcY&tc!;fBWNq*B}39 zOm)WpGX9tGzl{IG)&I5D|8=`nj{oidX6yV`WBi|a>ao1ta{O<9{GT!T3k#~H6F(QGX9tGe>fxG#sBul|MtiK_Q(GjUp?`^jQ?f)FXR7k^}J^Ep+EjN z$N%=n|H-RA{+IE;d{cW|_&<5|$N%=n|MuTI# zf52la$N%=n{~2HXR~%D}|IP8gjQ_(K`Y!&rKmNBr{&)THf5ul&{4e8w8UM@pKU_T@ zZ2j@SIsUgl{!d<=@xP4!P&jQ=yH9t-}r|KTy7^*`dV)qk}y{?DnJ{BM8!@A~8ajH#aZU&jA3 z{+IE8xO%?U`s06d{BM8!pS(Kbe;NPF_+Q5V_Q(Ipt3Up?|C#Z1S^xUO`rcLljmG%j z9RJJsKV1Dc$9UHNLyxT-|Jxt`XMFYFa!fJ)H^=`n{ ze{=jVR`0Bsn zm}2~Ij{jx+AI{Kk@xT4?zy0yQ{qcXsS5N#e<&-x$p*vj$0{qcXs zSO0a#6ytw${4e8w`{V!QIqX~fZ-4x6fBc^@)f4~A_+Ng-uUljAfAZ>y|LuwY&GElE z{!d<=@xP4!W&AJWfBWPA0@xMLszd8Ol$N$NzGya$Hzl{H7{BLLcpS&Im{FKmN~{ z>c7!?;(v4eFXR7k_1_%hS%3U*j{ohC|C3k$E$1)B|K|8##{c%m|H*UM8T@a5{BM8! zpE1=R|I7Ga#{V+@4_ANuZ%_Phj{nW^fAZ>#|7H9y<9`|d-!s)i`V{})Gu7$!9R4@o zxig9RuEF^$P*eUI8aa&rGrn^CFXR7k`ahQs<|)Si=J-GJSC0Rar~h;LsPQoVmoI5K z{!gChQ~YmF{BO>`zc%OJUnj4g_+Q5VGX9tGf4I8ge|zG8bNp|P|C3jL{4e8w8UM@p zKV1FszdiB4`QEnv``Y?1xeoPM@PEb^<9`|dhpYec7|%N6e{=kAfBc`k`mZ>DG5$Bd zv*q|dd7@A8zy0yQIsg9JoPU3vyn5n)8UM@pU&jC8>W}~JiT};)M<9`|dhpYeE7|;6Se{=kAfBc`k`mZ~G zG5$AyuI2dOp7=jw^2eXze{=r*wK@O(I$S;Rzl{H7{4eAGaP`Ok_Qe0@_}?7=C$G-< zU&jA3{+IE;J@J3?>W}};&$RV_x2^w%>rjsc|L53Z{4eAGaP{9D<5_?FZ;t=%kN=Zb z|1IY)#{cG3aNA4x-=6qCWAdk;;(v4g{k1v&{yJPe@xP4!W&AJW|8Vuk|MtZH=J?+n z|0l1`_+Q5VGX9tG|GiT^yif4|y;GfP&*J}kr@s~cTloLp>FO&Mz7N z%lKc$|Kao>|GnnSUyT3F@xM9#PoDnI=7af*FK&EEWBi}-i9W&q_Q(JB$N%=n{~2HX z@xOefb5@T3&GCP@I^%zP;(zn&#<4su{GYr!<9`|d%lKc$|KaM7|Lu?e?T`QMkN-2i zdM>rj_}?7=%lJQB{g+!${BMr`eO&lIdG%j${^C0t-`N=dXMCbh@W1`>zy0yQ{qcXs zSAYC3-|w82<9~DfAFlrR-=6s2{NZsd>yQ7FS7-b$<9`|d%lO~U_&<3)7W{wjuK%xI z^WuN|RnCg%J<(Hkaa{O z{`lYi_}~8cKVz!rM(d3K&GEmC|HIXPv-QOP=J?;oh5wUR|1IY)u2SW?;u8EeeuDq)kN@qD|1+lgX3d%Z;9&am_s^aG@W|=U z`wz~u=J@K5Pt5U&^Wzie=kJHxpT8gO{JcM4f8HN(9-i;c!}Hyo=ezlvqXYd<*(aYK z;qPxd|Cy1~pV#lsv+4Ni&-2lo=cDuUd`zC9EByUx`}6m!ouAj&_UHAr^YDCe9-c4e zJYURztPa1)PZaB?ii7Lh!#^oV{&&KEr?#Bo|B>JS2j~B9>fcN5UdVGb`C#@xGW#Ey z{ZHo4W2}#w=iz*QnCFW*&lhu^FCKeQoB!g*mo#SoV}G7snJ0hzFwZah^Zc?u&oAd^ z|0A>ik=g&qSM#+-f1Z!_&6%!*Y&L%`yc0F|0A>ik=g&)f5Cb3>0zF~_UHL) zf1bY{yVSlG`yX@mKQj9tdoDYFtv}CqbDr-$E}rimTPNN2_xFy*oG6ZA|6_mr;QHeS z`{M`u;|Gsr|0A>ik=g&qza5=9fAEPt@rnKG8@_#Bl{~gPv;T1(_CGTFADR7+{SR{N zIDhbu{qc|e@sG!@wy(wh$DI9-%>KuoYtCQmkFU(}m5&QwdF*=~fBfe9 z<2U=`H~Zr^=V$*Tv;UFV|H!Y7&a6K^v?o5a{~Kcr=RZF5SoS|M`yZM8kIeqZ{->NL zpB~1a_Q#+0$Dba%!T8}H_CMzAe`NMQ_S|&-T7P_Nj&FTj_|{{$+Wen1{GCI-RR8v<8yoBbNl}=#<2dw=VMLS|2Pl(ADR7+%>L*8 z{H?nBn|1Z;{;9qt{IjI#{$2a#{m=d58iD^aCho5f#uwxN`^VSC|1$p1{8{t*V0=Em zga6I(f96kqC;rd;aesX)R_}@A4zl{Iw zx$OArkN?f_zy0yQomU)RkA;)NIq|=Y|1(d9-huyfZ1^4gZ-4x6fBbKM{4e8w=fwXq z{Az3^Sa~fu{;Zp^B?~^KmN}=`QtnAe~t~mga7T1|Lu?e?T`Ou z{O_FjU&jCT#Qzyz{qes!{3^M>PV z{qetZ;(r-nEz8=db@K}HR@BH}R{`fz~X6QTk-~RaD{`lYi z_+Q5V&WZnJ{BKYEpYhcn|C{4~`{VzNsm}Oc#{bTV|K(rnaXnCvYZ~`Ik$2R;ie_hi8lUV<3o)PH$Kvs`=2-u z_dk)j|B1}~Ph{?YB6I%}nfsr}-2X&gZ(r-##?Li=zA^Vd@mTJEB6I%}nfsr}-2X&= zxy|!RWA1eoD- zZO-*znjYl3ux~nRI&YhEU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0* zm^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7 zg_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sL zU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@ z*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}m zb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3 zIoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&l znR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0* zm^s&lnR8v3IoE}mb6uD@*M*sLU6?u7g_(0*m^s&lnR8v3IoE}mb6q%ZdMK|6CO)QZ z=DJ|Mv#t>`?+bigNE9dEzUypGtZ%fGB5=pLOFWKfCd!3x8V}3o(vAm5TKf#)e zypFxpe9E!c%X#|d*ApDe+aB^kjvaX&dzpD1dxM;(dww0{Sl%X)4{_|s>)74qb?l9D z^pIbNIF`3nWNzss*RgxHImg~4M<@Apm}7YxM&=e!avgj5Hs{!z<>)8Bj&Lk*+sNE9 zO0HwC*ybF&AV*jEwaT%)%_DP*DY=fla+`DPq8z>D*OMH}TVmVHS{)_l?Xmn4D_qdnA)TH{G86_Nji!I$On!X2H~BcXW%3uM`;w3IRwjROx;y!J4$9;w zrj_I?Q~i>A&U4?K=f2GP%V%>eYd)QGUXC#5Jz*JF$hbnr6*8_kG3L3b&2w>^|B{yT zp0LMW*81;myr=Qyjjw2YB|N_FRc-#O+n8$_U)z}Xgzdn4!uG$R&BJ@b<~Ozc<~Gkl z^zUQd3aCQ{PC7Q(bnN$<3o)PH$KvM zwegeiIDd||`FT&+ZfkA)vBpm~ex}W{-sX9><i#xFKL(fFmtFTSV!ZUyT3F`I(@M|2=>3 zzvmDB_x!>CeqZo^j;+r4U&jA38zUM2d;Z}6%%A>`Tqk&) zw>1X;+yCImIsfs${qev3@xR?xou?iP{x?6`a{O=qHRrGX_}|X>U&jA-TX&x7iT};{ znV^jS!x{P({{?PU7yyokCYMwv;?1Sg|^Lm~?ujl#mdY(V8 z=lSz`oqyq@RJ>v{gXp6Ac&dH%fq_~B0+p6Ac|nol0SJ3P;y_cinUc|Fgc*Yo^&Jq zyq@RJ>v{gXp6Ac&dH%fq(&0ZlJkOu^HD5mbqyq@RJ>v{gXp6Ac&|2h1@;d%bNuldQ;JO3@U7dd^cf`k43f>D zY^da)zkZ$UGf1A4AS{Wj`NQm_F1FX_^gqA*2q3|fi{np`+AO6JQ-#+}w!#-2=HGQVYpE+Lh?BVAQ`%Ka6pFhrhrs&*f zitIB*{@n3;pD8+j;W+m%LFYa@WSh@7ynOhT!#*SQ`d5#0pAkCu86o?OkpJR%z0U}p|K)N1 z^}{|3^ctT9vd;q9XMyaqK=xT6`z(-s7Rdi}e6N2#d|mJ#{@#2R==DAeh)ET!p3h#rp1pcKd-Zzu>h)ET< zvsbTYuU^kyy`H^#J$vh)ET< zvsbTYuU^kyy`H^#J$v<~?A7bptJkwvuV=4b&tAQry?Q-+^?LT| z_3YK_*{j#HSFdNUUe8{=p1pcKd-Zzu>h)ETTIvh_Ude}&i3kTug>=BY_HDt z>TIvh_Ude}&VGRG*?zWfXZv=xZ)f{=d*o2+vl@= zKHKNBeLmagvwc3_AMgLQ!}k1MW6#g_{A|z9_WW$m&-VOm&(HS!Y|qd3{A|z9_WW$m z&)+}ZvuFFA+yAruKimJa{Xg6Pv;9BY|Fiu++yAruKimJa{Xg6Pv;F^V{g=i|*8i;k zS^u;CXZ_FmpY{J8Utd-)-)2dEu) zCYv?ctjT6gHfyq3lg*lJ)?`0e_7|GXnrzl&e<|6lx%u)N$NM*HdW~6=&6;f1WV0rl zHQB7mch`Sc^QR6!bNJJTKXdrm!_OW5?4vKwAMf9+>3f+q*{sQCO*U(?S(DA0Y}RD6 zCV%nqJv{vfhs~N^W7gz9K3-$i+|Dn5dc1$Lrq`P_*{sQCO*U(?S(DA0{N(t)Upf5J z;Xgn8)x$3ze&z7j9({TBc>iWi-`A|kW=%G0vRRYOnrzl&vnHE0`EMTI!_$9z*sSR_ zW=;Nw<27c@?R@^DSdahzHEVjkS(DA0Y}RD6CYv?ctjYJs_kHc~>xaK}_>IGF9)9ca z+mF7ybG(1ErtfRkWV0rlHQB7mW=%G0vRRYOn*77Z_we+;9yV)wjaifb<9Ll(b332^ zz|P~}zgg4k&6;f1WV0rlHQB7mX3cl>Uk)!>|FiyQ{m=TJfA0F8v;JrOzxmq#|9g0i z{`VUF&-$PBKkI+i|E&L6|FiyQ{m=TJ{lHoOv;JrOzxmq#egFF3YxFwmA&|E&L6|FiyQ{m=TJ^*`%>*8i;k z`45lxtpAesa7Y{qJ1=v;JrO&o3W;R{uNK z|C_J<-}kToy+;4D{%8Hq`k(bb>wnh&tp8d6v;OD5JKnSYcdq|g|8Ktbf8W3U_Zt1r z`k(bb>wnh&tpEA`ct85zx&CMU&-$O=JpQcycdq|8U;DrBU;lfJ{%8Hq`k(bb>wnh& ztp8d6v;JrO&;NG3XZ`P7|FizzeC_|ffBo+@`k(bb>wnh&tp8d6zpMX}dCB^p^*`%> z*8i;kS^u;CXV03l{%8Hq`k(bb>wnh&tp8d6vmYkwf7bu3|5^XD{%8Hqo;79t&-$PB zKkI+i|E&L6|MT7T--oRKS^u;CXZ_FmpY=cMfA*{?>wnh&tp8d6v;JrO&-$PBKY!u) zS@gei{m=TJ^*`%>*8l8TQ`Y~i|5^XD{%8Hq`k(bbKRMpB{&%kbS^u;CXZ_FmpY=a` z)|B->>wnh&tp8d6v;JrO&-$PL`uJJ&zjOW1`k(bb>wnh&>{(OR|E&L6|FiyQ{m=TJ z^*`Sq?^*vl*Z-{lS^u;CXZ_FmpFL~J`k(bb>wnh&tp8d6v;JrO&p$YR7X9yB|FiyQ z{m=TJ^*?*ol=VOBf7bu3|5^XD{%8GvSN|pOlJ!69f7bu3|5^XD{%8Hq`k(bb>wnh& ztp8d6v;JrO&-$PBKkI+i|E&Mn51;iv>wnh&tp8d6v;JrO&-$PBKkI+KyZ$?q^*`%> z*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tpE9sj-OrsJJwnh&{N#8K`rownh&tp8d6 zv;JrO&-$PL{`lGTzjOW1`k(bb>wnh&tp8d6v;JrO&-cfB(ErZ$KkI+i|E&L6|FiyQ z{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu}@5j%s|DEf9*8i;kS^u;CXZ_FmpY=cM z|M&D?>MrlGgg@9U=-e#GWwnh&tp8d6v;Nm@wJ0&{T|E&L6|FiyQ{m=TJ_5bFJ-zm|#{%8Hq`k(bb>;KIczf+=f{qNlG zl;~Xl^WF8Ib=Lo^|5^XD{%8Ha`Qmp;KL3AI+G5@}28{=YFR| z=lY-ZKkI+i|E&L6|FizzeDOOaI@kZK|5^XD{%8Hac`mU(zJLAi-0zg=_4=Qm9Di5( z-}y_&x&C*q|5^WUzWALIoqz54v-;n;{%8Hq`hWAq@093V|2y|PB|6vttp8d6v;JrO z&-$PB|K|A*p+Ek;>3^@$|E&L6|FizzJQsN%zmEQQ?srP`di~G$$KRFycmDcuuK%6u zf7bt-FMg*)=Wic>R{uNK|E&L6|8Ktdof4hvf9HOuMCbaS^*`%>*8i;kS^u;C-#q_O z<;TA_{qHsUpY=cMf7bt-=NC3SejWYq-0zg=_4@xK{g>xU*8eI{M$a{%8Hq_W!K^+5VsPKkI+i|E&L6 z|FiyQ{m=IQtp8d6v;JrO&-$P3|5^XD{Xgq}escW%=zr%g9q0Prx&CMU&-VYU|M}J9 z>*#;y`k(bb+yArvXZwHF|E&L6|FiyQ{m=TJ^*`JHv;JrO&-$PBKkI+C|7ZQr_W!K^ z`TqF((f`h0KhE{PbN$cypY8uy|MNS?*U|sZ^*`%>w*P1S&-VYU|5^XD{%8Hq`k(bb z>wmWYXZ_FmpY=cMf7btO|IhlL?f+T-|MT*~-NS!pD(ipN|E&L6|FiyQ{m=TJ^*`%> z*8i;kS^u;CXZ_C~z5X-J`k(bb>wnh&tp8d6v;JrO&-$PBKkI+i|E&L6|FiyQ{m=8y zV1E|wnh&tp8d6v;JrO&-$PBKfidqXZ`P7|FiyQ{m=TJ^*`%> z*8i;kS^u;CXZ_FmpY=cMf7bu}wnh&tp8d6v;JrO&-$PBKkI+i z|NQmiJ?nqx`k(bb>wnh&tp8d6v;JrO&-$PBKkI+i|E&L6|Fiz*`{QTP|IYP4>wnh& ztp8d6v;JrO&-$PBKkI+i|E&L6|MUCDd)EKX^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3 z|5^XD{%8IF!2W;xee!wk9Y1x+bMJUP_m0*8i;kS^u;CXZ_FmpY=cMf7bu3 z|5^XD{%8Hq`k(bb>wnh&tp8d6v;JrO&-$PBKkI+i|E&L6|FiyQ{m=TJ^*`%>*8i;k zS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6v;JrO&-$PBKkI+i|E&L6 z|FiyQ{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6 zv;JrO&-$PBKkI+i|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD N{%8Hq`k$};|9=7x^0xp0 literal 0 HcmV?d00001 diff --git a/lib/python/Plugins/Extensions/WebBrowser/keymap/de.qmap b/lib/python/Plugins/Extensions/WebBrowser/keymap/de.qmap index 95c42af3d887e5a243a188770cca01ff32f3a848..cb3109dcdd4f88f3c45ef00a59862e51e2c187e9 100644 GIT binary patch delta 24144 zcmYkETa2F7dGFtu!Q=ChlksV0Y-5iP*d~qv+hcod6KsRA4NicBvBx$93~_;k93Tw- z)Z49n!PazZxQHS}dpC(xx|MD&XjMx|rAnnzFW5IxE>M##RVr1|H1t4w;coWx{MK)M ztVlE8|9jT6p2J$}dH(BtJ>>1V;kW-_c*$dr9zEA}-9Xok{`^mtj1144iElHrdxm$c zoLLZPQGD$NJMiqa;StB*s^e?g@xSSh|E`X2Z^w7euk+8%*ZzTa{J-|ce^SREZpVMq zA78P+`CnOdiJe_+mChAw*(w6D&YAA?+r8g z!_@fPgsYeucp6vY*_#VD+}BMn3Gp(`BwntW_}IImU?NzlPJB$yo?BESxI=M9b7#Rs zu)5$)8NprZWCUz^jo`+j8o>rtGJ+A!#K+#zf{9?P;IalQ7S{;2D9#AB7EA==PR$6m zsgn_GZ}9BQ;u^s&#Tmiwg4u;V1rx!&>cq$N><9e_?o*r*++Q#eJWw!euwR{wfMZ+N zU}8y);IJwg!4b{G$KJ_;iQs6#o`WTI4IWaQ5u7NP2u?aR*Wi>o8NtI1p1s+R;Edvo z;B3LHK^A#EiI1rhA8`(r)(D5t z70eo3F4%LhtVZyh;*8+=f{Ea&Q*#YoP$wgJvB9%5%W4EKDb5I9E|@iVrC=iXx;pU@ z=b#_Kw-sju-zk^~UMrY2cwL>0fc8<>U}E`(RizrQtCA7SURyqL>)(gq?*|9t_q+}~ zG&uYH<$qk{s~Y*OgR>V`DBsw~Hx15iSgHJTjePIm?B9m`ufpG1@vy&2`QwfJ zeAvHL`IScgOxV9o`8OK*t6@LnH^cr-;veq78UO9diC=lfe}{77SDx|Tshs$gXZ#`m zFzkOw{KFkM<6o_u_?2h;cPS@+A>^5PXd2JF5=8(?a}oY zV?!^}YUg+N1-_3~JHJCddvopaVr6Aa@0>~I;>>)VR%n-6pTAA3O6*|)V?&o3b=j?$v_h5su(0a5& z>#0_5Rx5NBXR>4B+_4d@&_1+6`>0lKj2H`@!I|u@IQMr{E3^Qu&;qKJnNh7!-Ol7R zi1Rd5E0nQTDC25nV(j=xGSU{#%YyFj0{~zrCOQTtQF47g6=P4UH+2uBF^)&*;qI)TH(A@D>thZ&WkfSFXB8ecWZ_7 zq7}|dwQ}QbW8u6wlk+0Z^Rh)NoENQdUaFOuEn4BcIFs`t&ht{Oa9*^+d8t+=wjLkJ z<=)PDS=jw5@UJ*8;yf=~#|{k*$3VC6(@#ThXg;`mAkIzxguL4xpW8W43yXYFBcHvw zb<>d{Zh2uLw1&9lg=Q!{z%4H<1m4bh(=+Eyoab#kqPPRAB?_*4dRyIi<2)+oJR05X zjq!+LgBCK1kur-YMzs(h+s6w2Reb!4^J)}%UbjUQSzvW7wt`E};{~%8+ZuVMR@Z2D zW?MwTZO^sfw&z-K+jA{A?|SCEi}So^6!&4ZtOeITbIx?CH|jw-^-$MhVtYh!SPQup zN6IYLVzOX%V^i;tgCFAF$7Jn;8YSKG%X< zpKHOb&$Xc5^h~{pv)*<@6i;BaM8WmXoS$mEQIE>0$GR3bc0?3Ovbm?Z7To-d;*u8P zWBcWTe-vd;D@f_nNisy?iHFC9J*5ZXm{$eAao!J>taQia~ZhuC>?a#HK z-t|nqi?iM{if?1JM8P%CoL_6a(GQf<59(S>+_R}SbX^O%7QMuax;#i6roeg3$DNO5hvu3cZU^2_nsPY}k<6}Sd>k3i78l`^4dmH-? zGS>5gv{WDg2{m5 z(ZSc0$H#t3#RMo79Z)LbR~!4UHTGXm-1GEO7dqhW%Hl4!mo4rVcX=GPQ3Z>;e+c{! zRDqZ(2>l2;f4bC<&ut3}MotwdrwX*+Tj7NG*hUp-lqwKY1v*%*embBElv4#dpbB&_ z+SsQGv`G~#?s{aiDVIn?54yb}9-92GDf4Xi-c_T;_Ea|2~GL^?B|i6HV-Mf{+jc&Yk< zr?_^8eqyejCa^;N_?V{3Okh=>zna0VDrMBSHTd>|$$&d)OwMe$lZJx`?jFiAg3AOb zOM|8?#gwH9tkZrkGgz;KjG8NJOh`OZW~w1 z*|{m3!I2_o3nmLD0~!$-bW_KQ{HO8p)8p#o7ChMCFBDAB+@ejO$2HmvPC6koIOT+l zn$BegbS{HFt$uF7qv~e@T(V7oOSW5ZPW||prqM0wdK{w1%K#aiS1B|2VuQa_Fd1+I zHv?|qCO~I&3+RjnO=lF-8BJh{{_mFyI>-#TpqoLicOKICeb1$W*#cT==!9tMN|BSm zGwNgl&o=n01(N`m_n3Q2FD7$)H-oEA$St7t8a1s~OzSo1YwG6~{GJXnftMQ0-QEOf z)iF1Qr?XY}A~Q1hMwvhcuNF)lf3v~gDwqto_-6*;jElbs(A&d7CO~U9Xj;42)}9By zH$9_+%-~HOWClDJ#Dv7LN?o_K%Oasr0%t4O0T(RoQuR7qAchMs&zo?)d8(n2ee)>t=9xbiyaaeGc%0RN_9pn z)xo$EG6OoJM(K>=v{qM$PG$C8l$k!K4Aj#||2i zMrlN18j%T{R6i4-uV^#+O62dCM~V_#K%+1N8ihvb6Jq*=4ybz*pzgIv-HWMv6S!nR z>3;`xtWoM%OdadsYLfwFsZq*O{Iwl?hG!6V?3z-R>Bi=`%mu_l9!MTsq-b`5T` zhO$#}4N}fENF3LoTz0l#yx`B?>M2jdxy6xKZ#%_q20Ixj*(62ferIO88q77wE!b0n zCV_jK1ZWO!0nNeSxCM#11+D3o1I0DS0j@ztN;7e(X(q~PCdz3h20GCMN^@6!xRD3ZG`u!Mw|FI?kx|>@-cQZI{LE^Xt#rgLO*B~+1ATyvH zy418o<+MZPw8NO2XC*HH_1s)&@WlpCgEN6%_u-``gUd|@SBer_K>IYfXEl^tz%@uY z*B~*sAOpRsom}Y`3T6vlOx!aA`mGsUL#brLHAp$vATieunt9Ry~=2h!kJO z;`dm>z`1o7GMT`+UDI&E+7A6&iavN=!54xbF2Dt$A9xxrP=7(u8H+6#%QKSp17BD~ z|BngrWqNTL!03|3!BQOrKU{zdLO*c%dmA0B&_S3OQ_mu@q!Hs)Nj6tgHlEu(4pa z04`8}bK~G{9b^Jhsz=wm1{mrv1RZ3t3;e$GHV?ffIH%4(`!GW&jtM0bHQ| zo}x1r*2cIfx&?4S=!bk7E>QpT==VzY`*e^Qzy)Ri7dYVo9b^V@fm;9gzYhIx^4kn5c(mXh6~jH;y~7)U%sS+%m6Mh z1Gqq)r*x2802jCgaDfTH1?o=~eOC8^_A>#vfCPH}wUQGq=^!(>>=MPtg)0S<0bHQ| zvyFqV>L3$<3rqkmQ2(m-GXc1uX9kdg8NdZjxYjuMJso5QZ~+3$UWM?>B0uK)3l1H8U>;MQ*TxBUU$yF0L{oBdz^v(DgsxdVH< z*_ZzC&*o$Hc$od6_$Vr3qBZ&n?GsiKzts6v(#TS z5H(XBEN&dYSvr8ToUp8Muv`aW;UKRDOaRVOe^sM@YhxeIO8dcC=iw|T+|f+9QwNy= z&o5>GXQ{uY(O=s*fU`^h&QgCvqdyY37umA~qmf1~QT#qIRxnE)_1<40{s31)aF!0> zEam*kkMb~(bJ>FNVv{X^vxW6gx)1tW*EbO2}R;GstUM8RyqN$p262jHyG5C5wkd$^hKNaFy`(gB>M zgENi(SsjG!gS?$_3*apEA8+)}H}(r>=>X1h!V}GeCv}ip!1Ie+@a1NOzS8JF-8g`= zv=3)#|6*Uix9a?~4l;vF#VA#9xp8o%KVjARX9{Ku;4B@$Svq*G(SN>Rw&1Gva|;S* zIpM`-!q*xHaF!0>EcIV%^k3FN*gnYnCAR?1QvVx`{;Q4s!dW_ivz+klX2N%LkX!It zlfmoF3VpZHf1_~#XK5eK(tea(_J$tiM-`06*A(Ud#=E>L(|ZCZ2fi^_GZ!X|xI`h_I$D(2g0X_xf)rGJxWGU+7dczNyDa5f z8vQ7j{uYcEc_z@?2pPBq+nWh+K^V$q-&y2f-eoE0`Nag_0=EDza0}o9w}9st_2Gi? zUO70t%ra_TW{G)zaROZ67Ci7-1{?Pm%ob$hs4D~)80bWilfa<{AI|$nop7Ytg2^Ih z3yv1d791;>ErSaT^uZ!$3wW2M{GmqwM6(4ai+p1~vuuP6+=9HE)qvmvGdNx3WWc*D zUH~}s&1GvBh-~to)Zj%69V4%Fq62Dn=dSt@`OpPc{>9+FZk~)EZ62E_v;=u33 z?{@|p%)2c0R}?w=v|jaTy<%P+sXt2p*TGn0G&j9E<4uhd_B0M?EE=V;h!Bh~mwkoB)Q8#qhEE@NvjPb81D=CjkzH32-RXhmS))f}etp z6UW|6!N(53$4-EcL!KGH$05%Q;Nx(R8NkQt!^feY39M@pSl{3c2AV6BbR7Q#Suz-P zAU>{-70ecJN_7Aq8|dbuLpJcSa`;$%_&DU5z_|7^0qD3S(0da;b^?4H6EcIHI!Fv3 zD~FHOhmS)Zs#BCr$OB)eY}^9MMt%4=^m7ZK;~nuQIQa!Wb^tzh0(>0u%m6+Pd1e3~ zy9MyE`tWh+XV4Q(0*4xWxL^)-c{Ym)A)A_XAU>`iEtoB!kaYkb8|Z^YhXmkb)CrFdlI1ast+B9ey(~CKK2WIEQXJr03U}uGk}jn zo*BT$CIBC+4jpmk^ivjK_vq@`r~dQZA|d6Jtp0yW zNHQhAs1njj$=jc*Ln0yh?@tXVA@q>UtsbZ%qD|2+`XT~M(c6QewZ0$Hp3%GJo*Jqm zVoK5KTdIhlQuK?yh^SKZ_PnY^SlAk_BI3f<{3;?YZ1qLNg{=hx|NFKsEB__la^Kr! zBR(@UICpX3K)gw3gm>?b+&Vb7VNrjCm+y{j8l3yv&qjFr?#SN3x$iH|IoLin7#{8| zmXC*@CH;}do00S3XK8=rN;C3I`1x$)8_me8gYSKR*}xBmS5ojv3fRu7zAPuJw!gnDf9F3wG;XE&X@ zv3lV6N@j9Y>dX~))tMX>XL3~P%$d9DOio9g`9Xgsr^A_?jyiKXXfNCYxJl-dsO$e=q+wfWHsu_H{=B^QNlXH?*nWi1(HK z=V5#we+M=cSD3C7^B@RbIm&~ecs@Ga?l=JX6Z+ke_&mZP*;nM{K`zklAGqRd7=Kxdo?` z=Y!yGdWadoBW7?~2bm2If*L)eJhM5gq4?Mh@3;jtAQRxi;}-CS2|L|Tc@Xpq4}v=2 zK~Vgp4l+<41ljQc-Iofc5zz?E;7XCR z1-$bz=x33aE#N`Wpm`7!KVRmu1y{A7Tkt~So*B?m%>eQ=gKJDE+3+B!(U+8GHZOxq z8AG;i0sYtnxJ|kRulDE0fBnpZAV#|(9t3s3gP{03I>;^HLC`Irvzq{&-2|ZRn48N! zqqD?32x{|skvb8{+PK2OdZzab6-Th6|L#1!B11JloL?FNhh+ z;R10a(U(V1eO$OeCAdICOBzFPf%0XIe0gICF3=8KAchOXaDf;uNE}?S3og*X9gPFH zzzJ}H7%mXQ1!A~BJL?)daDnm-jl6JylSi9u-~#0v8#!E{94-*U1v=Q$IDiY(8E@=t z`waJX!38S81sdAf7=jCw?`q_`KQpuoF3=8KAchOXaDf;uINvLW4ldBaeT@USzzJ}H z7%mXQ1!A~BI}?o^xIp>gMqaqU$&*bsaDnn;jT|me4i|{w0v$ZmIDiY(Ioa4bCGL&J z0fY-wf(tZsx-kS7C_mH4&o)Nk0`0&BVz@vI7l;cN_ysP|!4r)GxIi7aKnxd%;Q}#S zpq;744qTx8VqYHn4;MK3Qj-l_p!`ZBhYOU$1!A~B2ha5#bi3dJb*?scUP#G@C#JJ2U)IQ%&;0;9A)2Ct8xI{^UvzLW4P4A+UVP`=|LMm= zqYin-L!%CyF|2F+(x{bhXyhYv0|zs&jE8<~JoICI(T~OSWAPU4#QIHbox2x183S!M zbQ05c17|!t8^5$&<#`Rtbxxh#bC>(^&|Zy)_8L0ri}os}yNbDKi|?QNZ~b`aq9Mmc~P~)YpURXKXY}b}t8 zlwWM*({sPykB3fXJajUBJ*$4M51mZ;bB+A@xj*U0L&FN4jE9D$-)oIu8kTY{ui}^I z{%=1X8k6zRm_jFG_-5mmzNDNxs`xu|D-YFrr~iaJ6LXyw4>odotMXXyzCOKGIlWcPby^&2+}GzitvvouW$FO=Z!zs#yt&b*eJiJZi@8pV#~XdF(<#q~3HrK9^mXyh zW&(X(IelHcyV2n~t(@z$n0_y&-;1-~_iFYudT!A2j3P!2QD*l5T9-)Kpo1V z4)NJWhwHR*uG3;DMGU2gznJH*ZULO)0GuM`IxXfpE#^8chHJ!djTo*G!!=^KCU9>w z4&ZbX#H9vvopu5wB!+~<&o=syka9>!%yn9Pwb763bmZ><>?DSr#Mhb$u#~k@=-vXU1z70P7qvjG8%Q+50vdD!ByL8Rn2Xg?OMs0q7(?&{n}$<) zP33{APOAOjVL~burz%VeTMxu#r823?<2>*%mC6GZ$BC0Ti7S<4W*pCqCYrT=`?o*# zL+kv%wbxpEt+V%D|Gm%oK7Hpmmw#t*`S7VHj-2eeZm8?VfARg{vE|Et7@vN)uy6V9 z_4`+L-Tu|_v0Ktj1fE!UW%-!x@7DHBZTo*4wEs(O-_^G7SyAmzuF(IzZToKw+CQxA zkGAbUAGEJqsr?t)_QzLN|F6~dFSqR<4B9_lx%&Y5eYC$cUSE? zdhJ?@md9UrUBN5B-E_FgKinjyt8kf^uEYyhSB>6%?OHZpk9xs3Xd0L4bp;c_`hrUY zCs)@9Zbn=p_)Jlur;QE1rNIn&jo`}a8o{V;GMX{f<1#&7FcC}?Tq0PvrbciZ;u67* zqCx~y4c^({T@7BCUsEHv9dWq_cc>DV>3szg!Ty3v1RoC~xEpba;Il=A2o5&*o(3~* z>phqmt`R(-o4f~yRFBK_;ev_aNWsp7;d&1qMqDB|UQ~$SM1vn`@S_c0xH^d7bBN15 zcv6+POy?r6Gx1cx&V#izf~OFd2%at~MDR?5pKUNpuik?zYwJBYubaFFbE?N>`a;3n zgNp?_53a8fdEgbwStM@^@5!Tg9yHX zxJ2;HqCy03Huzf&rhL?UFmuD`Na^(*-Q+!3c;$w%4OaqOS(4Ia-S5V~zq_2BdKtNduAe=PiusDHYVp9%jPlt0(VpAY{x zD*x3+{zmu@`5%YqkBNWz#O%~y-+Tzmn&QJLM1d7?vq~fK5f;@&L$R0 zUiC7+RWJLSSh%Zt$-7#;P_~SPvQ@pzjOvAoWGswA73-d)U zIbXKvh54c-^QC&ZvdvhSFM46V=q2aNq+XaWS~6d%m-$J(Fkke-e9=qJm+FQ2q9yaC zdYRd-7v_szm@j(C`Lf+um@ir~U#gd@)eG}QFU%LcSg{my)a+&!hF$7&X?+i`JyHBrFxm!acpcV=ExNDWmR`J@LA@| zs)=oNzU-K|zijnZ6>?b-O5LjNV9cBROFR|VDdto72z-|LBwo0>WAaeh<%NgTx}jL* z4&~swf~Vp##e6dg=9^LEe4C0W*zOqx+dZS$sE>?-`Do9~#~Q_zsfdD|o>8#V3lAB^ zxE|s%#e6ji=BrWUeBBvQu;nv~9mS4&ktx)Bv9n?^hxHJbDb|Zo zuwINJ*UPSmf~}uX94~g zqF@VX6fYJ#?!_g2WE3wIOcbo=8pZsch=SdpQG8VoaXHBDFIHLLDb~ADu-=U#*Lz06 zHqa>826`{vEdE%j-zu0Ws0THQnZ1*J#}3da`qW(A#A`+|*#|kXcfP%wS{DA%R=e&!DM8Ca_s~ z2EC=hTg81gP^QdstTZqL;{`JWl&R1O(K*VL8B7)(64>70TN_LXGXYAN2~2feVWOQF^@Yfqmu{Q&Xy+c5; zcL=_veg;jkH-Wd5X9Dw|;{M#*pME$87nnhgOt+@XCCoAooGa#jxL{3}W^cmFUF6bd__PS^qK-XVCl>40@_2dsPjUnn{xz%nL*zI&T>YzM4kJGi7lX27!4 zDa%sKvb2NOnhstsm`R$;ERtl1S!McX)%0e-ve7BaM$EDq?tUCDejINXx^9@qrH5Dr z!(A4$SysVt_a}k>gjF!i$!TdU<h{WuO zOn_x6W?6<#Ccv^3vn)+u3-#X*TTAw2z>X*kGMlj?X9&id3|PmZ6V0>RiVj1-GB)V# z$jcD0BMSXYfE`idK0dG|GU}<~h~d~-Fd4A!!yvO^-5c~CJIEnmM-)04G&>?OJ0gc* zUz0%Ai9RM28Bi!30t$s0up<()BMSY@fE|&T9gzu8Sj5zo(8-{wD`M(ONuXak(`1l~ zGXu&D*b#{j6?rcIGl!cDC`6$X^4X(BhasR48T5n5%Mh?53jIug9no-KKCmS+>J!Bg zL-0t!WI*K##1OnxFd0yKLqD^j^cwUlc903M zBMO}injMjt9g#!u+8}|xJ4CGxrSLIJt#%0B&}o#~rR<2zh8MsH=b-Q5a-4%$2p>j-hVkRKADq)^-;HpByT9PvEml8oab% z^sj65mo+;5^5(;e#$aV*u&U8n-QYEi{&1tew$Zu1!8bJe>k974V11)B(%=n^!Hor@ ze^aA#bAvzA=x=QFZ)tQkHF)z--cxVsmd0Rfqcqy!v4Xj!DeT*5eTQmsIgMfHU>KO9~M4esLIBa(}`qATHPV8-TZf`J;>P>iG$oo2p_BTp* zHh8*VGQO)|hTuS>b9aM3+vp!`^zUhO?)?<^XYXqaW*P%F`eyvVrv_))`k2AtM*m2o zbF{(73MPRE8~umCeHo{R8-wGG!HLG;kw)jy20zy5Ki=p+(dc}x!A~~&C;P_WRHJmd z!DkwSUupC|-{?Hm;HMk?XBz!y8=WtRqyLe!XB&gr#^79IaK6EFjebmq!KAy`=sefp z=NtVO8vQR0W&L-IztkAK*ce=D3|?wy6T{ zHu#N!!N{qvHTqv~biUExZ#MdGHu~RcbiSSV9=*-fj%uX>dPu`PG^CkM^I0 zk57h@&Nk)OZ$_S8l1iJp+%N9pFrXX)5JLcB>c4nJvBeMdKl}u1?Fw2Q_^19WUz5XE zJ05O~Apo610AdJWQ+GA2u5uXI)RDmYCIRZd32bOOrvB@P`ky$;=hF~?4G@4B0x(hz z1Ii%)%50gWL5F$5r{{)?kb4eluQ z-#~XZcY^v~5^p0~$jBVhBJ? z{TEaJ#ngWTeWbY))c=t8xjZ-wXaE6-ApkK1Acg?E?HmRij#Etn)c@!phamUdb(K;7 z^%M0!)}J3B05Jq0h5*cf!+>%KKskp2< z#t?uw2w;!^^aS+|90s8ca)0AM!c4Ksj6x@_c+U$Acjo;DT%j zIS+=+fCocn02ina7leK$02e5S3qqa=@LWjwsCP8wV-Zy!w}lMG<3k;SiGsNka6vc< z(HvZ$Be=joc`&3LE>O;MArqL=e+0SJ=p&ybtxIjN}!REf)Y90*P02hQo4gn8_%zy_&4gp-CK3ov`nE+g% z94;_Wo(m~|pc#TgG5#4TLvXlYR>6^i83MS#4B!GC!374&gCXT`fpVS;nZODC=McaJ zp&!d|O;cAv55?kV60$s1Fx}ekK4HD2EF|o(b?=Ncs7}5XAUrSak?46wDA@ESMpH z3&K%|=HLPy!374&gCXT`fpVS;nZPCe=McaJy#|m$7-R-7Hyy(TAp=H!3D~D$RHYW2zW4L16-h-2SaASgCU0iE>IsX2>na|E>I2^ zggg`AxsdX>t$Eik|NE~`j@&rZ=a;9JBzKLCzQ-4-mMq-5J`+!$pFq$hx3aV z&JuHe5p#YK^Zcq{Im-qfV2R-@G3OUCoF(S`Vgj6B90JZS>cCkhFx?~oXYGiu9A(w! z0HXn%CFcAh=KLb&0hSof67&2@3}=Zszla}bhTsreH={mWqGLEXzi0qwnZdCp12{_^ z&M#s(OU(I2%=tyk^Q*w0a+VD|z!Jk*V$LsOI7`g=#RNFNI2@c`)Pb{1;7pT1;VhNn z05h1qaF%k;FJjIwVjf_L;Vd!Fuf%YcnDdMH{GhLX#jve+N!iHyywQD!~O}xIhdSh~WYgfD23j zE>H(95c4i0an%3Qkbw1!7)ih~WY;Tp)%E#BhNaE-1J^4H?+5s~G~gKsj6>h6}`Sffz1u2;c$}fD6=t z3&gz3nCi>qf(&ec3&e1N7%mXQ1!A~B3>S#u0x?`5h6}_GIP;m|hf4O$e7L}D-~tWc z0x>T$#BhNaE)c^7Vz@vI7X*&}M@~ZqHayx40bHOQE)c^7Vz@vI7kJy@0uz7>)PW1c z@h&6QpC5t@1{f|-4i|{w0x?`5h6}`Sffz0j!v$iv0Njt9j(b1oc&-@&xWEkH0*&DU zF)uU3aDf;u5W@vxxIhdS^nQR0#BhNaE)c^7Vz@vI7l`2khX5`x0k}XNxIo`Oflfsf69m@jE$4 z6g}Fh6}`Sffz0j!v$hqY?}bjvP^*Q zIPDyt9_r()#=|TP-~usRAchOXaDf;u5W@vxxIhdSh~WZpazT9Mz1+IdCi}4lj~D!5 z+~p4^%57%|wlzb*vn>6<1!A~B3>S#uf`a?gkbw5`PW$6?y5W@vxxIhdS1djekPD2JZzy)HsKnxd%;Q}#SAchOXaDn(_ zGXy-#atPu(PEr4J8N?9qFiQitKnxd%;Q}#SAchOXaDf;u5W@vxxBwjWA2P5Z7Jp6_ zYQS8Bd9m#fTx^Emxn>A>mZdFRAchOXaDf;u==}g0h~WY;Tp)%E#BhNaE)c^7Vz@xe zdu=de*vJ{kAe_b{xa09h2Z?#Hp;O2}3>icRp*gv(=#v0s zU;>bV`Wy@lnlHR2j{cAHVnZd!Knxj}0WUVpfEOFe`NpgIkbwzoX%gVYhB~9=u8{Cp z;686rW-#7lFaa(@02ye^!N3f*7k!3+7aQt824cq2K&SMdL%@rTBJU^jA#wykM38|Q z?6HF!0$yyW0~v@R0~6S12XWZ}88`%xf%+T_On@)E?(WMZ;>CtakbxL7Faus}I0U@d zP|i1A)rSmBV5Uic7aQt4&hSE^xMaW$wx~ALjW0=!Q*z2L%@p-ok9j;$iM_n+Cf})Kn4y$kilSx<6ywb z%An&5uQC74h8G(;g$%@yfw!6$8x8?4Hk9*?SM?zS6PPU>v!r>kq0afi5Y&f6W^kbx zu#PW+%Md^Y8gno(=ojoDv*E>tI*@_*#byXD=|6{{yx1^}05ULxSL`5%fEOD& zg$%@yfeE~32XWZ}88`%Rf%=>aOrYl*uYTaghDwlu7&0(WUTio7yx36AH(u3;3`}6Y zNq`p{>O`hF^b6nntL^K56!1q&QgP4ty;=7cKKZM&%bty!^z6dSPo6C8l+xP%-JjI< ztF@g%RoiF(y0%~WYwf86wSCLq)b{zmv7J>`+dmn!&-~POCUI^5?oX@z)!NP!sqM3W zTidVvt@eySZQt^DwSE5Yo?QP2k@+8x|K9O?O8(LQOyvK}!pz^>PX4w1yMJHXuhw?* zukEuxtL;~Qrak%B_AUQV+voqmcJi<7pA6b({?T^wukGLc$7+AIwv&HtpZ%xWe&wIE zC;!^M<>$6vp8xri%h!$$$o`J;O$&eVZQnK;?Dj^>H zR0;9m=c+oygP;GWgn01tA3wNpeCr^f{iPr+jo)Se#|JhLmksfs8oYO{HV~c-lihEf zAF2&RX~T54I65?FAzE9Gb&G%bX$w)?a;95+Z^^)h=xuqvTRgvX&_WirywQC$dfm|b z%h%`Ie~2>vJFt%ef3*1V>Y=eC_r-j_kKNgHw zn|*7l8@5QgVT+`j&5fJ!f^joZaEa#Kfg3g|x?!K9o865Y_8msUzN1F-F9SF17IedI zK{wfTBuG++3nrQ)1(#?}4%ejMY~4WFx_PXq;|2;g8Ys9%^Wne^#HkyIQ#WTDHx~*f znu`UOXx6Q*(Li6ifxUF|a^nUzG8)*ZM)TUh4IH8yI7ByZrJK9T3+04?^VV_l?(Q1D zEf>V%$7_e4+$f z`fQ)OdUp2Rfo?7^r}FN=_=Z8TJ1~A@;-zUCIjzX9gxr<@-UzP5B<2# zF-Z;}+gpx)VUP_}m%y>h%0CPeuWc|tc2tM&w1uN+-b*zKdEhywm*dHArG@TR-6gIawfoIz|hH{Ir<%Fztd*J-FBQxpDmaSIQoT7 z2FltsGuE#1td%)QGiZ)}Vvc@de(NYM-)S?0!y3nBj+Ji)u(25&QzwIau)!St!fDF+ zv7`8S(IJ5o>SqF!km$FM4|}O0VUP{*h|xW+L1yzrgZZ(eI(($TI2(I60 zGlL5n$7PN}Xa;O<%;0%-GPoBS%+W8LraXS^$UI2=V!gS(p!-~tWc0x?`5h6}`SfeFkse&7P-4>a<^1sWeFh!PN7p!{ef zhYOTH*vR1mJ9xP104`AfM57NEguEY*?BN2H-~t^z-Z**!<1z%FYvgc&ws3(ME)c^7 zVz@wDxWEr^fgL~9bO0Bq0~d(l0x?`5h70sF+xUSCl%F5SWBjvj)>Uw!!Ek|2pKIiB zf$|p`Ib2`|UmA4K?STu_ztrd#F0cVE5W@vJdZlsn6^zReyxPd&0&U>}FQkXkuPKUE&kU#hQ>ycHs+&%ckv(a z{a*b0drKE5_6?1W%t!g2UtQ$jHH*K7JVf(USoJq7{$!v}VSK7XfAivz{plz5sb}g> zF20XE{Zoe2-@Q0=XVs^Cs6V}U5qavf>eat*@lOZ(ELQc8Ely0=_*rA>KeqU5$TJ?+ zhWcj~e=^W#N~?c%@yJ~@9%iEY&o91@JmX;kssHlg(1EJYz^nhp;zi`C&v>c-)<=JO zVCY}hEbk_VuIpAV{?U=4U4fS+{@IbCUk|)K@ta4H-<0_Gk0PH)eDE0ZTN7V8hJ1hG zUl#d+#8VFIs||NcYhUrc=PVdP&-eCc82uP6Rxk$*k# TM^nd#{&n9+x4biSZO#7&J@9Hh diff --git a/lib/python/Plugins/Extensions/WebBrowser/keymap/us.qmap b/lib/python/Plugins/Extensions/WebBrowser/keymap/us.qmap new file mode 100644 index 0000000000000000000000000000000000000000..7a257eaf2da986e1b939e2382acb61e0e519a739 GIT binary patch literal 100456 zcmYhkX?tANxpiIOgtS0NOFFQPLS!%y(l>}60`Skw5 z^UgW;(0XoPSDhMXvi8!frP^b!DyfubpS<|YZnwK(w>$m+V(=#i-!%AB@@I1Y>B0T~J7@1dJNR>hKR@{9!M6;)Rc_xe48Cpf7YBc7@RtXF zW$;%Ae@$-B+XsJr@HYm3bMUtYe|zv9gYT5v|McK9gU=4WYw+IS?+m_s@OS0*-yeK# z@I8a?9ejT9eS_~G{D9p4-y8hk;O`Iq!QdYb{?Xtc5B`bV{y!c3v%xsW}gC8CI*x<+I_CFkadGN0XKQZ`d@RNg|8vL}}{ynKr&eLZH zKR5XK!7mJcaqvrnUzXedmBFtLer@po41Rs^Zw9|H_)WR}uMECA_^rWj556||w}XE- z`1f-A9}j+K@E-=hJNWwG_XfW|_yf89|2X)=!5$LM4*r|m{(m3*kHP;O z{I9|P9{lm({|x?b`NmyOS5DdRnedrDo|F8>!KdW7{K4Eh=1lI-v|qm^fo8``+rXQpB;Rc+@AXz)BoJa@uBnML+8hD z_Ghuo@tcg_JQiQsA77c{D;ZyT>;?Pe?#xB|40;<5O_ z{?9r-cMswR$KVH#<$Sk4=es%QyUh9Su~)V^S^ukJe$HRVaQ=GivE%bt&b;IJJ}%Bj z$8bJ+Ea#W~KOFOOemREo%VRlT?9cgP&iNv9zMR_iTgbO@cWQSbeBp-9Z+_!op8v_y zv$u}9koyZf|IK;+%RK)-vFp=8&U0-zRC-iq2;T_do7(Y`;J3 zuVnUDGW#o;{gvJJw|Q9qa~t!0xo7ab@V4gcuQE^9pZ%50{z_(lWl#L$`r{vSp13kk zGP|+AlG$I$?5||@SN6wOu0Osq$5%4G%CYUt{z_(lC9}Vh*0@DkC9}Vh*@xP4! z?S}tl{4e8w8UNeu^wwuDcY9|xX8rNMWAJ~DZT}8DS%3U*j{jx+Z@2wzJnMhX`Mdu3 z-!b^#Zs(o9>yQ7<@xP4!?S}tdfBbKb|K*<0C!Kl1*%SZE_+Q5VcEkU!KmIqz|1$o! z8~&H^zl{H7{BO4lTQ}DK;>N5${&x)i&#_(qgKZ3q|IP8gjQ{O+==@ppJ^XKu|7HAd zw?YZy#U4Q&U&jA-dtr2baWMXO4F0z#{3+jZyc`s06d{4e8w z`{RGtAOG7K|I7H_ZunpR%jl2)&GElI@xMLszd8Ol$NzT2|1$oU@xP4!Keg*A$7#3w z)UMy2H}JnX{+IE8#$^3_+jV^TlY{ZUIsVVFS@Yf)pZhoPzd8Pw@qdoZn)k-|GX6Km z|1$o!8~#t8qu#*(=J;R6{~6QH_+Q5V@>l)39fSYvhW|6B{qes!{+IE8#{5FXMmt z;qkcezuhjFw?FRgYmy(@W0&-9n=2!-yHwT_}`vKj_+f^|K|8# z#{YK1|H*UM8~EQG|I7G4W7--2%lKb@X*?GEZ#Vp(G3}54&GEmC|1+kY@xP4!pS=C?zd8Pw@qfm&Gya$Hzx>znxbVN-@PEd%KmIqz|1$p1n0ChhGX9tUGaeWI z|Mae>8K+u)38MPA8~>Z*e;NOOdiQ4f_tsyUfbqY4)5!6Ej!oy@I{xn5-;Mvx@xP4! zGf(>W#{6acZ;tZ-4x6j{jx+Z%_O$<9`|d%U|0%&>#QXAOG7K|I7G4$F?*6 zm+`-R$9P=$-)^V3ob|{5=J;R6|90DRo_;Uzzj-G(`M%(PyX`xF*B}3z<9`|d+w;8h z^s(T7bNnykf4kv-*B}3z<9`|d+Y|rG_+Q5VGEYjo;eXd3|C{4~8UN?lcE0X?Sku*ySwqfIsTXNzugX;r|XaZ%^w>%{=xJ zdhI^5s}AnL|K|8##{Zw;XD+9*?z^3g|K*!Tj{kFP*1Wfj-`$h@d+@*cXSbX&_&@XH zzn{uuVE!`xH^=`n{|6 zjQ?f)FXMl^op%1*-Gl$l@xP4!b8Nmpr}h}XG5$C233T#(!T)yKC*Rip+{UbVzv215 z!1&*8=gGIng8$9&zl{IwhW}lE{BMr`W&CeX{4e8w8UM@p-)=lXeg5EobNnyk{~X)( z$Nw_^m+`-h|Lt~RTL+&17dP&g{3-r-4F1otUH=2dY>fZS9~(LTx7*=1C(obD8?)xW z9*qC(cH}&LEco9X|I7H_ZusBz$N%Q|U&jCT#Q!q>m+`-h|LyBK3OUv}OP?`M7ci_hfvU&jC8tXpp#v!DC@d_BfD|LkCV?y>mU`SG(k zewOjG^WVPB!~9<#bK+aa;9HM9?fBg7pK*Ndeuh6CgFiiX-|_8#&hhPE0rat#@uA1! zH~Zr^bNnXbH;?U;_hfxoTyuOS<13H7;P~9_U)-4W$3Kq2KOTGN`1Zf-_;$u8j=?7$ ziy!QdAI$NCj2}Gql`;RTV}8ze$8f%T>=no7ZvU#|bN4gOU&nC%dhD^|+y5QMw?F5j zV>ll@mh;R0oL}agUoz*H$8x@8eCPkin4j~-F`O@-<5$D^_U=Bn>r>$z&wq2C|1!`2 zaOUi7*YUYO_nD2!dHy?w=fB5(!ST5}$MfHu=fBMJ-(z|HJO9_m{5=01!}H%`PmlR| z{+sjsmwEns?7rjMzYjW(h5kJMWuE^Y%L2JRJpavk{>wc7J(lOc{rf~dnV;vsV|f01 z?1gO%>(BGwoaevH^WS3+9pCN+&f5-6r_txHzx{dsoAdma zdH#Fsl`%ihe{-JyGS7dHJ$8Kizq2v@dHy?w=fB7Pam>&2-<;>a%=6!4dH&m<=f646 zf0^h1=lS(Mws^bG?|MmoljpxV&wrWcf5v3*zrFt>v;UXb|I6(Eb8H@CZ@bQ)`!_$c zF*)apV>n+jCVT(h7+=15F#CVUu>ZFk=U4I!eUtOcobyZO{K}a2Xa6s=|CibS%k2N{ z#`&1M{W%}aIUi-t$Bb!b_Wv^bf0_NieCPJKy7u>--kAQJzjo&Ql{tTNZ2RvyzRdpL zoc+Jd{@-rLhQ_%M0<<3n?NDC5J7X=nETGW&m-{lDC@eIDlj-gjkV`r}V? z{3+wl9NRU&=J+!Ee{=T#GW&nK9Xr1L@vS+&mGQ0Jt~q{n`J^?EmHew*Sq$o*tYc z;VR%>{BMr`W&9sbhu(VZy}7>^pPS=z`E%PC=Eu*@kDr|rKg;;pWAUx?<6ColE8|;_ zJ#C-d-HSiX@u!SGJ$B#m?T-)5@uA$sI9VV3W`F$VocK+~Zyt-U?2oU^@s*6PJobWp za(6HOF~>hL{_)sD$G1N|F~=wJ6WbWpA3xY1KR73Tknw}Za=zQ2^WB{DUFLlE*emwQ z-MyT@=A6GW=dZ^eJHGunAI&)*<#)F+^ymDtKj)Woa(>C2UmnZ(Vt>vTbIuo;^W~Q9 zJ%oO#oy_xJ=J_x4{FmdMHJ<&CIr|@({ZBaO$EiF{#+P$j%=zLN&KEi7?HY6Q;9CaY zDyQ!$&M&_%=aFz0W^_c3z*%6nrB`yX@mKQjBDaQp8&zI<*l=euJ#-{tdTOaik=g&q?0>@jy7)xKCo(>f@rlg-NA3x9(x3g0`L9O*3xh8X z#y=U~$Ay37gE5Bvk2(7vnf*_={SO^qzC0LTIR;QI{-(>tI<2M<< z$?Sh*_CGTFADR76xSjE#j1OgeDC0w!{g3>c(V6{^c{d9u&bc!9>R|kt@m+uXDPJ37 z*#DTb|B>1Mgxmkv@#S|0<6FnzTlxAJ^WI?gKaOGl6K*&BEaPVxKg;-8X8$9z|B>1M z$n1Z@?TpW5d@kd2`NZcP&;G~!-Z1L_+Q5VGX4+8 z{mpYzz}_}?-3KivN39aF~t=J;R6|KSY%@Oz{GgQN5J2mfI7$NxFDo$gC80F4_u%2$N!nL zjQ?f)A8!A{Z9MCL*<+jIf5+heaQh!Qri}m1@xP4!?f=Z^|Lo}e+~DU&fBc_$+8O`L z_+Q5V;r7J;u0Q@a$Nw_^54SV^m+`-h|7HAd|0|>a)zSH_!EcZL*T(we|IFFPg8yaw zA8!BSvHtIj^~e8?!T;g*zdqI<|C{4~8UNe=kE8#Gqw`0D|1|pJ|IE|Q_+Q5VGX4*@ zKmK?9@xM9#m+^nN{qety|7H9yU|F`Y>Eq@vRo8x~O|A*80<=l2V8UM@p zU&jC8^nW?Gj_>`;_}?7=%lJQ>{x9do{AK)aj{jx+AI`|X;eY$%e{=jVyQ64raAtX@qf6T@xP4!W&AJWe>>y<yQ7<@xP4!!|i|M{AK)aj{jx+Z#Vp(y#4XNIsTXNf5x;k{+IE;jQ{1A?a==C zKl7CFf5w#Yzl{IG?Tr6r{4e8w8UNc2|0i#M{BMr`W&EEp?SIW~W&CfB|7H9iZqMUw zJpJ*%IsTXNf4KdxJAWDfo8x~O|Jx1!CvSiJZ;t-gS3ivP{=zl{IG>HlbM%wNX;=J;R6|KW`ME&jJZ{x`?}GX4*@KmM2Tzl{Io zui2rU@xT4?f5tS&|1$m$w=@2i@xP4!W&9s*fBbKM{BMr`W&9s*|2?~vzcU#BI|l!U z+jD;#!}{ZYbNnyk|8V=Ccg`~YH^=`n{tsv9Z}GqV@xM9#m+^nNo$v4|A*W2 za2vz=<9~DfFXR7k`yV-H8ULH(e;NPV4gV+4VZX)y=J;R6{~6QH_+Q5VGX9rewnO{l z|IAay{~1%p|1$m$w=@2i@xP4!W&Ce9{GYu2@xM9#m+^nbwEs1`m3z>Mi}1f=@PD{H zkGC=O$N%Q|U&jC8_P_3&W&CfB|7HAdH~gPGhy51+o8x~O|7T1)<9`|d%lKc$|Kaw> z|Cy(Z|IP8gjQ_*!jQ?f)FXMk1|9@%Mx2QhC|6khm?ZW5qzd8Pw@qak|pUZ8xlRr5a z|C{6ga5_JiTgUhQIs9*q|7H9iPXFg}WBxM!H^=`n{tsv5NBH0V_}?7=%lJRs{`g7xjyCZ495SC z!T;g*-`~ct{`lV<|I7G4-2UgCvyA`E@xP4!!x{P!{{4e8w z8UKgV`Gwp%zV|QSe{=jV|MtiK=J;R6|KWDt8~yRWIsTXN zf4KekxACk${x`?}GX4*@|9R&x<9~DfFXR7k`{RH6<9~DfFXR7k`~PtC$N%Q|U&jC8 z_Q(IOKmIqz|1$m$w=@2i@xP4!W&Cev{GYs!1^=7le;NO0O#2^<{`lV<|I7G4-2R8# zc-9~Po8x~O|A*WE$ob3o-yHwT_}^~$KY9D(e{=jV$mn*{BMr`W&9sb|5tO{?PUBf<9`|dhtv7h z+&aGZui}4m{4eAGaQeTR8}pa(zd8Pw@qaiY|AGJQkN?f_zl{IG?f+%xFXMl6{4eAG za699F`+wbIo8y1S;Qw$t<9`|d%lKc$|Kaw>|MtiK=J;R6|KWDt8~yRWIsTXNf4DvO zxACk${x`?}GX4*@|9R&x<9~DfFXR7k`{RH6<9~DfFXR7k`~PtC$N%Q|U&jC8_Q(IO z|Ia+OIsSJH{tve^{+IE;jQ?f)Z)g0UypIL{o8x~O|7T45AB_I^-yHwT_&?m9hue78 zAOD-fC|K|8##{c1r{0siKKmIqz|1$m$w?F=uzv9KY1Su{x`?}GXBq)_CFY%@xM9#m+^nNJrB3> ztUvxY$Nw_^54Zo3^Oy0zIsTXNzuoYE^7hC7=J;R6{~6Q%_+Nf;JQn@xP4!Gp7Bojn4Sr9RJJsKir;w>9s1aQX7a ztUvyD4F0#zd8Pw@xR^hzx?8OEco9X|Jx1!XP)-Q|K|8# z#{YK1|1$oU@xP4!?S}vDkN?f_zl{HLY}fzV=#2l(@xP4!?RLDaIsM<+nDxj1j=}$S zyYBp5fBbKb|7HAdH~epZ{BMr`W&Ce9{4e8w8UM@p-){In^K||3zd8Pw@qdnOXZ$bY ze;NPF`2Y4@AI1mx|Mp#%@wAK-uc!|i|Mm@@u1$N%!v&Y8QX@qhC02l(I4_+Q5V8Pm@AU&jA3{+IE8xIOWI<|*TU zbNnyk|8P6we;NPF_+Q5VcEkV4+aLd%<9`|dXH5HF+a5cN|IP8gjQ_*!e7udP|2rPr z9RE88|A*WEx?{@t-yHwTEnBDM|2~cXlZQXR|K|8##{U`9{`g&fBbKb|7H9iZfE>2<9`|d%lJRsp7`JX_}?7=%lJRs{(C<5GX6Km|1$m$ zxBvb&p7qE7=J;R6|KavO@BC%_Z;t=v2ON{TKjMG;^T@xM9#mn)v0Q~AHo;Q!>|KjMFL{4eAGjA>{5FXMk1|I7G4 z-2V7K^OW(wIsTXNf4H6Tzl{H7{4e8wyW#)j?T`P>@xP4!Gp7BoW#EZ_@V`0!m+^nN zosYNi^vD0^_+Q5V;r74o{AK)aj{oJ#{$%~}fAS=M#Q%22|1$p1nD)p2GX9tGzl{IG z?T`O6PZ|H4<9`|dhuazd%lKc$|1$pn#;)HszID5A>^jB2^7?8QChteqPWTke>#zLJ zGdJHD|I7Ga#{ZcooqOv%|H}Qp@V`0!m+^m&?SIc>VE!`xH^=`n{ zaWH@X!EX3p#{V+@m+`;d@W1`>zd8Pwzsc9y`s4r1)BgBh#{V+@xBuy_C+mM^WBQ*R zd>6c}`QBjsZ)f~3%oob z|NX)I{Rg|@e;NPF_+Q5VcEkU!KmIqz|MD;RTHBiA|IE|=_+Q5VGXA&!g{>#+e{o~_ zUmDEcf3VvD`S!iQ|K|8##{YIZbpAg7FFR+~AOAZB|J&`z`P(1=o8x~O|J(l==g-~0 z`oZOsbN0Ex{QU>J;eQ$b%lKc$|8~Rwu0Q@a$NzE{tWIcW{4e8w8UM@p-~LxPb~}Hs zZp`|>HJHEukYnqoYvdc_e{=jVcT5@oo8x~O|A#a5H~8Q6$N%Q|U&jC8cE4-Nk1VEmu)eO&lI-2NA~F(2pdg*bga2KB{BMr`W&9s*XZ$bYe;NPF_&?m9_}}%% z|K|8#uJC$ZxAW_RTks^u|BiXnJa@mjvN7v_b>#Rz<2wfbhui;}W6Jp79RJJsKivMu z&L95x9gl5}{~d$>!|i|FF=hO3j{jx+AI{L<;D6U2|C{4~8UKgd8UM@pU&jA3{tve& z{&)THzd8Pw@qf6T|2_J5QBT(YKZE~U{ubBJRQi96|IP8gjQ_v2doP2Z z|1$oU@xP4!!JirY*}uhUmpCG!CxKxwZXR! z{`%l=4F2ZeZw>zT;5!E2Ir#M8GlS0#zH9K_;O`8+d+>J$?+-pV_@2S{4n9BlzQOko zeqiwT20u9X`-6Wl_=kgkH2BAZe=_)|gMT*o=YxMS_@TkS9Q^R$Uk$!6_~PJ8gC7}u zF!<5Ij}3l&@ZsRggMU5viNQyMpB((u;HL*aGx*uT&kcTl@C$=q9Q@MYmj}Nx_|?I$ z4gR0OuMf_KcX{KD!TBGuSoxK~R|mf}`0c^h2Imhdta*Mn`1ga42fs7;4};$we0^|) zz2<*^@CSqQrv4i9;oy%3|7q}_2j>?;*Rg*c{I|h>ADj;mtnvRG{I9|P9{lm({|x?b z`Ho#Lw^#Z3?;X2teJ=9zUvqx`EA#W;aIRlDMe$Zl=jXrX{QOtu=fC0hXa6s=|CibS%k2Nd z?acn){_OwF+5gMz|HJLf{$FPQFSGxb+5d;zpP&EQpP&Dl^YdSspZ|v2f6w(Pv;Q|| z|1Y!u54ZpRHlFoo|8LIzUuORwZvXSnUuOSr&i-F!{~vCDe*SBJe*SCD&wpip{u^#* z_Wv^bf0_Ni%>F;z{_OubAJ9S z^Yh<~Y5xP)r_BD}oc+Jd{y*IQhue78pZ&i%`+u4Jf4KdRoWIQe-<LhQ{QNh0 z`}6Z(bAJ9S^Yh<~X=nETGW&m-{lCoqKivN8|1(dS{l7W;f0_M%xSiSm%k2MU_Wv^b zf4lMX-{kGj&wtJN`LE2+e>0~2uem;D_W$PW|7G_7;dVaW#?znuzd8GVnf-sb{jWQJ znf<>x`+u4JzuoxxZ}Rr%=fCFs{8#4ZzZuic?Ehu<|1$f3nf-sb{n`I#o-+G?bN2r- z`~Pq|v;UXb|I6(EW%mDf?)tLiPx$}NU0)(TkN?f_zl{IG>7T7c{>k`X#{V+@52y3< zxpjQ+pU3~^_+Q5V;q-q#H|8(ne{=jVh{BMr` zW&9s*|0Cxw<9~DfFXMl^;s50AkN?f_zl{Ggrk(M>jQ?f)FXR7k`{V!2Q^x=1_+Q5V z;daLVGX9tGzl{IwhX0edKmIqz|1$p1nD)Qs`jqj%IsTXNf4H5GxAFAH|K|8##{c2= zzwZ2H{BMr`W&Ce9{GYu2@xM9#m+^nbv@`yf@xP4!W&9s*fBc_$%J|x=Xa4X3{x`?}GX4*@KmM2Tzl{H7{2y*-{Ga*D_}?7= z%lJRs&iG%(|1$oU@qf7e@qgxTfBbKb|7H9iZvVXuJXwGIZ;t9(1z)_}?7=%lJRs&WGE0`s06d z{4eAGaQh!Qe;NOq<9`|dpWgc8|KvIB8vZxO|1$p1nD)p2GX9tGzl{IG?T`O6PZ|H4 z<9`|dhuazd%lKc$|1$p1oauak|C6^r{x`?}GXBq)_V2)x^~e9__+Q5V;dVaW#?v4F zo8x~O|A*WEy7QOuzd8Pw@&D-|fbNnyk|BPvW{4e8w8UM@pKivNKKl7CF zzd8Pw@qf6T@xP4!W&AJW|1-NT+3)cGnO&d0kKuoF{4eAGaQf$M&is?{zkJik@qhAk zek`|+@BL%=-yHwT_&=QfkLAYvW&CfB|7H9i&dA^4fBWNqbNnyk|8V=`e;NPF_+Q5V z;daLV_Q(I`_+Q5V;daLVGX9tGzl{IG?T`QMkN?f_zl{IG?Z4;xl<~j$-6O~U$=iQ_ z%UOT?Z;t{5FXMk1|I7G4-2V7K^OW(w zIsTXNf4H6Tzl{H7{4e8wyW#)j?T`P>@xP4!Gp7Boxjtq5Z{7(`yoCRgxAXCq(;xqv z<9`|dhuiHK(Z z9pC%M@qfmb@xP4!!|DHcZp>fC|K|8##{c1r{5}4+KmIqz|1$m$w?F=u@xP4!W&9s* zPyBCx{BMr`W&9s*XZ$bYe;NPF_&?nK_}~6#`b$^l_+Q5V;r8FlkdyVt|K@j({JV1d z?{Ccd|7H9y<9`|d zhua_jXPz?tH^=`n{tve^{+IE;jQ?f)Z#Vp(y#23^^~e8?!T%Z4{?}ZeGX6KOfKSeg zPIj{X#~afh|7Uz33;vh!f4Kdxdu$p1o8x~O|Jx1!C(mKO$N%Q|U&j9#)6V!`#{V+@ zm+^nNJ@J3$DdT^0{4eAGa699F8UM@pU&jA;?YcC_`2VimVfgTduD?0{m+}8y{Jzks zJcf+f82`)oU;Y$hcE{;_m|N%U{UQF(oMrqkzd8Pw@qdnOXZ$bYe;NPF_}^~$-~MOD`s07c;Qt(Z zL+0OeeaiUX9RJJTWz4qD`x~?V=SGhI?T`QMcHa5>Sn$6&{+IE;-SEHt@xM9#m+`;d z@V|`zW&AJWf4kv-*B}3z<9`|d=h$|}|1$oU@xP4!?Tr8Jd2w6+!z{re{&x)i&#_(e z1J|dF|IP8g{P_*^UUt8Mw0yv+4N=6WG>y$I(qK9O6;_x_1I9*!-4cJSxqTq~Z) zjWK1e7n!Hb^+M))5zfeWxn9_x>xDVj3z_RhxShFP$XqXEt`{=bi*S2#y|6#m3v;d) zGS`c6dvd*yxn9UzFJ!J4;r2h>bHx5xKQfUL?<9?{d8`=XxP? zy~vpMm~*|5xn6|ZpX-Ip^+M))A#=U3+m*5YSI3&a zHTdnZ{?}ZeJ{GPQnX}CGLgsoAZs+4|JpJGC*yev2+{HUt|LcRfUf7xIh0OKB{#-AT z=dgFVUYK*ekhxxDOnY*@khxySTrXs<7vc8gdXag`TrbSIUdUW8!d-u^7c$oind^nj z_2TYbAL@1dfA{Vve1!ka@xP4!!|9)2XU;zv|I7Ga#{c1TKFY1*dw+!g&GEndIp<9O zquiLkjQ=yHjQ?f)AI`|@_}~8c-yHwT_&?nK_+Q5VGX9tGf4H6Tzy0yQIsTXNf4DvI zzl{H7{4eAGaQowb`{RFe{4eAGaQpAMK4tuGj{jx+A8!BsZ9MCb|IP8ge6Mr1|M|iA zKVzEXe;NOWGxR$Cw?Fr=-6=J;R6|KavO+{Uy1_}?7=%TG9G`yUO){~6O9 z|I7H_ZumcW4!e&3&GEmC|1+kY@xP4!W&AJW|8V=`|IAay|K|8##{c2=#Q!q>m+`-h z|LunVlea(qH^=`n{?C~9zvlXs@xM9#m+^nNosYNi^vD0^_+PHP>$LW7krT%M=J;R6 z|8~Rw$#c|o{BMr`W&EEp?Tr6r{4e8w8UKgdAOB~bGX6Km|1$m$w?F=u@xP4!W&Ho$ zT~8ZMo!xhLJ)M4@*I>Iac`vyqd`eD-U*y*O=ehqmKF|2(_*};4&co+wdiep_z;W55tiCu{rnF+b;vbN+LT`B!;ANA#$T`*b-e*|Kg;=&`I~dT$eb@ZHs|l-xiNp4{f{~OADR7+JvqNJ ze~voK`DM=eC3Ak+js1_z{zqp2BeVap+wEH)`g1;-e`CveES!%yww>Ak$n1Y)_CGTF zAG@7){@k7A{59_bI{6-P{^r=M{r2-Rnf;H<{zvAUYR`S=@A`ATn{&R)obNfd{m*aX zVfH`f?0;nTKla2Au0MV-#}6`oup9dynf;H<{zqp2W4E7<{`kbaCtTO3&n0}4W80to zkIeo@X8$9z|FPQz=g-|){9}G;%X$9epB&ruKiG1Z{f{~OANg^QZMVaXdH&!lJL4-E zU)k-*`TJPd|CqD?k=g&)6Ti9s_{|)@$@tA~?0;nTKQj9tnf;I5UK#!Iq4{g$G2+7< z+s^ENWcEKY`yZM8kKL{~f9}rWPxH5&KX>27pEakDtx)vy7kZ#{NfU|0A>ik=g&)4WDQJJeITg z+#H|F`keJ=|C4#zpZ$-_{zqp2b8dGf54E@5?%b~b`9JvI{KhT6lDjLX2T zAAFwi&GEU6&+p;=?bG>W<_$afhQT)u&JW?%m`@D;)(&sK99dRpT}RE&*Lx7 z=kXWk^Z1MNdHlusJpSU-gU<{;JNT}_dxO6-`0l~q9h}eOudkKQ<1fBv@V$f2558~k z{evGE{Jp^s4*ve&9}Ldt@mGg@9)EE@kH0vd$6uV!<1fzV@fYXw_>1#-{Kfe^{^EQd ze{nvKzc`=AUwmQk#le>bKQj1W@S}qt8~pg-d>(&&t$ZGT@vjFzG5BcklY^fc{Pf^w z20uIaxxvp5&gb!0hkPD?aXyc~IG@K~oX_Jg&gbzL=kxfB^LhNm`8@vOd>(&sK99dR zpT}Q(W$@L(Zw-EX@U_9e9sIk&x%jO4^LhNm`8@vOKMc+rpDWLc;KlC^et+->ga0`A z!@(a7&IfVUocTQd;(Q)|aXyc~IG@K~oX_Jg&gbzL=kxfB^LhNm`8@vOd>(&sK99dR zpU1ypcQ1cBlkGsbm!*GmeKF_yB6EES=lb5;uE&;neIfJuLjIIva@~2I>rv*<{lB>$ zWlVFfM>5x=aIT5HF@KrY7v{Xaka>L(&dAq!ePMrIUzqdyLgw{FxSe@@A@lk|=Jkcl z>x*!E^7_L5yuL8!^@Ysqi*P%CYxL*!h4~#L=k-PM_CLMltp6FuG(S7|F1h{p2J`wN z^EBu6h1^YXzenxAzcK57Zshk2zE^Jl^MiSPk$IZ)`aL(Zhu~1xckFCJ7vc6l+{Uy1mp!)muLnONxBt;#USDLM=Dfa;d3_Pi z(ARl=;rjFX!kpI^GOsVf?aAv4nb#LGuPfCvWF(jsD*re8=EB<=p*~pRd{f%vgVZ zzLq&VhM%v6+kekv%lO|M|I7G4+;02Zc-9~Po8x~O|A*WEyz`gwzd8Pw@qf78`1zXs z`T3eTKVOsi`C7Q0@xP4!W&AJW|8V=`f7c)XoB!ON9fSXqxAQ}zKmIp=c;vs@__f@< z#?RL>Cj8Hf+xnC9^EDqAKVQq3_CIi*GX6Km|1$m$x7(p#tBn86@xP4!!|i|M{AK)a zj{jx+Z#RCvmc0G>`IfCvRu`FXMk1|I7H_&iFrh9}E6B$Nw_^&zSZ<@avZGzd8Pw@qf784o83d zZ;tz47qIsTXNf4JR_M}Pcpj{jx+ zA8!Ba&R@p==J;R6|8~Rw$=e_Qo8x~O|7T1)<9`|d%lKc$|KaxU#3%m5|K|8##{c1V z#{V+@m+`-h|L@=RW!2mG|NdQH;y!}^&GEmC|HJ8@w?gwz#{V+@m+^l%{U6D#<9q)I z{x`?}GX4*z|0B6Ee;NOq<9`|dhcohR{BM8!Z;tfBbKb z|7H9iZvXSnU&jCD_+Q5V;S7Bn|Jxt`o8x~O|A*Tj|I7Ga#{V+@54ZnMM}Pcpj{jx+ zA8u#-FXMk1|I7H_&iFrh9}E6B$N%ypWBm_YpFS4+pJU7TU&jC8_CFl`@xM9#m+^nN z{g0f#jQ`E?zl{IwhX0edKmIqz|1$p1nD)f~GX9tGzl{IG?f=T?kN?f_zl{IG?Tr6r z{4e8w8UNc2|0i#M{BMr`<+sQBUvqu>Snz+2E#rR~|A*WEc=X5r=J;R6|Kaw(?)+u^ zZ;tpS=C?zd8Pw@qfm&C;pf5zl{H7{2y+A{GWNs_}?7=%lJRs&iG%(|1$oU z@&5z6e#yV${|9#c_P>Px&GEl{s_P$4|NNNf|1thI$Nw_^52y1>x%JrIzl8tI@xP4! z!|DH0ZhWmW{x`?}GX4)|{qes!{+IE8xc%|JjQ{1Y`gJ=7|0i!}{BM8!Z;t2 z<9`|d%lO~U_&<3c3;s99|1$p1nD##yJ@LOe{+IE8xcv{e@vJ}oH^=`n{tvhRk@J`F zzd8Pw@xR^hfAaRn|K|8##{U`9{`g}bRe{=jV z7jPs!<@UyIoCGXBq)GX9tGe>j~l<<@z6e+mDa<9`|d zhtvO3ZhYM`{x`?}GX4)|yQ7<@xP4! z!|i|G`OEm<9RJJsKivNK-~RaD9RJJsKir=9U&jCPkH_P}|H<1Q|GWP9-yHwT_&?mv z_+Q5VGX9tGzn$@a@;(;)Z+>Z8^GnGuJ+d+V4@OV?pJV&D@V|`z!|i{#jc5Jwzd8Pw z@qf7ekDR}Z|IP8gjQ{P4|C8sixA4C?{+IE8#KY9D(|IAay|K|8# z#{c1V#{V+@m+`-h|LunVlea(qH-Bqe^GnGuy}dF0uZ^DgKgafQ;eQ$bhuish8&7}y zZ;t&{=s|K|8##{c%j|H*UMTln7`|I7G4W7-q{%lKc$|1$m$w?F>RJZ1cE zj{jx+A8u#-FXMk1|I7IQ!CfEH`}qICU7uc0;eT`dFQ4lAhtog5hW7s$|C{4~8UKgV z`KjD`Z111K|K|8##{c2;e=0Y=RvG`B-!gLipFGj~_}~8c-yHwT_&?nK_+Q5VGX9tG zf4H6Tzy0yQIsTXNf4H6Tzl{H7{4eAGaQowb`{RFe{4d`%)_>1+=wreE8B@mpGX4*@ z|Nb_f^~e9__+Q5V;r2i8{AK)ae*ehvfAU1{ z|E@p&H^=`n{tve^{+IE;jQ?f)Z)g0UypIL{o8y1^k+J> z|Cy(Z|IP8gjQ_*!hW};!FXMk1|9^kihxZ=-|NgE|wI}hvIsTXNe>nZ~E6MpM<9`|d z%lJQ>&fEVUYvwQGe{=jV|1$oU z@xP4!!|ja!?T`P>@xP4!!|ja!W&AJWe;NOW+aLeiAOD-DC2)~{4eAG zaQp9X<5_?FZ;t znWv2Z&GEmC|HJKx|7H9y<9`|d{~*6T)ZZZLzaQ*++C0SX|C{%co18JH2T z5I)bC=J;I3=N`-N=Q}^YpKs3Z=ga(lzQ^L*jPLyT)*Ro;_|{`j+b4Gq@%!`UXSbaG zcggL!@A%G-51kVq%J|TE`2BYK^ZV`Q{C>O4@3(s_zOp~QGRIdkzVg@$_Q~Bt{QkN5 zr7frbBXav6I==n!iF4u;8J{>0zaMUYem~rt-w&7h{cw-ve78U6yE*5(%=zxISL~C! zhxq+%^S8E~{{7)tpG)n3yfNQj&PQ|3N15}{dHDTm`}6zN=KOxO%1M$n1Y)_CI#xe9Zjq z&-rN1`6zQfdhEAGPxe3NcZ{6ik=g&q?0@WrPh5X| zVvbK_eB!Yc`AL8FKjwXcpXBU+>~?{CTYvmxj(=qQ<2(mr9`--x?0;nTKXyBG{;og1 zGRIdkzVg_kG5?c;pBl{m$8Pw|^~Z1K_)W%d&d>fwX8$9z|B>1M*bN`L{`k-wAIkX9 zV_zRV+5ecoF>>}lcDq8ptv~)W$DcC(be?Nt9`--x?0;nTKXyBI{;og1HOIFyzV+Da zWBzVxPM$OG4`%;kH~j4S<7adHEaPYAXa6I!|B>1M$n1aYhR zvj1_;|BRgd&yVucw*JJe|9-UVDe)`(Z;tjyL|Lu?e?Tr6r{GVg<_)g(}8UM@pU&jA-!~dDT z{qes!{+IE8j%|PZFXMk1|I2rd{--x){m+aX|Jxt`=h*hg|1$oU@xP4!?Y8gy?SIbs z!w=wp$Kd}Q+y3}p#{V+@mwD3I4gb6T_}?7=%lJRXwm<%t@xP4!W&Ce9{O|hXe{=jV z+CKmM2Tzl{H7{BO5I=kNMocK)tE z{&x)i&#~=~|7H9y{`lX{_+Q5VIkx@rzl{H7{4e8wyWxM=AOD->xU8UN?l_Q(G+{+IE;jQ{P1|6PClZ;t+o`THjx%ilkd`THj_fB!`O@;LS@gTFfXYlCke{Pn@#82rt_-x~bw z!FLS4b8uczt+hQf`0U`j2J`n%d@TI^6Pdq%BJ=l8Wd8n%%-=te`THj_fB!^2Kfcy| zgYO^wz+nFViO2HyPh|f7iOk4GJpR>=I@`#{QVP|zkee0_fO=b@wJ{D{M6v52lMw& zJeI$IBJ=l8Wd8n%{K7c)#lbHPetGaKgI^u|+Ti~goDX@g=ksp{zcKjD!B+-f9sJhd zw+Hk0Pkb!={S%qLe4GJpR>zCONI-mqMc<^91Q4Ce2jcr1Va zMCR|G$o%~i`Oo9nzYNYV$E~mRx54?)%*y{U_@9ISHTd6yKOX#_!T&A)WOvW$-HvVG z3FrE>+x@iHh1*W;K9Qe{$+<2p&UImNt_zEEU09s!!s1*P7U#OKIM;>6xh^cubzyO? z3yX7ISe)y^;#?ON=en>s*M-HoE-cP%!t(7Z&HbusGL+#knpl&UImNt_zEEU09s!!s1*P7U#OKIM;>6xh^cu zbzyO?3yX7ISe)y^;#?ON=en>s*M-HoE-cP%!t(7Z&HbusGL+#knpl&UImNt_zEEU09s!!s1*P7U#OKIM;>6 zxh^cubzyO?3yX7ISe)y^;#?ON=en>s*M-HoE-cP%!t(7Z&HbusGL+#knpl&UImNt_zEEU09s!!s1*P7U#OK zIM;>6xh^cubzyO?3yX7ISe)y^;#?ON=en>s*M-HoE-cP%!t(7Z&HbaO3W0yIdBy?M|5Mf;sOC$-FNV&g-e( z7+=ookj0-J{JHWkc-_I<2J-fEw=Lh@_~{!yZ@xSA=^JjBbGqc;LmbQ74Dv7Ow&gwc zX7e8VbvdV3{{50;d0Rr>e%`U=J@yv!9{UYBr(^y-%(1+UA^(c6yX8IhR`VYFO*yA; z{{4z$dD}z2z_DB2W4~bDW4|TmbkDyF9Lw7z@ ztNc6QSl;H5ALZCB@3CJo@3Cj(=q>*qC-vFocDxfTp{BM8CS@-!g)SF=DB&yf6K^kCExmg zVeoB(zc~0ygTFlZEAZC;t7HDJjWM?m{`z3v6ShC^3ETf$V;e*^XIWKKko_K?Qo30JowjxpBVETjd`9N`BNi*dK~-A;AaOvH~9I%FARPW-uk~Z z=6`vNd1dgcgLzNb$H;rauJh|-9^Ml+e`Dltj(M&OzB>4=!EX<~Hu$&j*8g{7e%=$d z+wmCx&fq@`es|1sea!RT$lo9N2jkd34*qcPM}z+~_|Jp?0&o5QI_Ce|81whR{}{}B z!ahdc6Ly{dJ?7y(Ve|itocDxZ+I|nJQ|1%V{gKJGM|x@d`>3&b^Z%3o`+x9%#>eHo zF+TU(?|5W<_}}P)=jVK}Kj({ca=yr%FE4Z6@om{{{MZfcwsH5L zUp?XMKhMf}Nu7VMa4g?O`Eicj@*ev&^X^^m+FkkI|2?zYv0XZ0#$RQgGkO2*6FWKY zzb(%DZ;SK(+v2?cwm9#41?}RzKwTVJEYACHi}U{5;=KR1IPbqL&iikR^Zwi7y#Ka1@4qe1`)`Z${@dcb z|F$^qzb(%DZ;P)C&iijG&--tS^Zwi7y#Ka1@4qe1`)`Z${@dc?!S4+I!{B!ZUmyJ5 z;P(fAF!+yyKOFqg;Jp8~`sDq$#d-g2ao&GhocG@r=l!?EdH-#3-hW%1_um%h{kO$= z|7~&Je_NdQ-#)Q>YxltE{C+|&DJRTz;qC43C$k5W*@MYkgJdo$@=cvb<~6vSz0S%% zGnhS_W7xCFpC4m*4Q_tR$Zs9YUd}P>Z>zdDZPwYE8XKbgIs{EadGn}hlN zE64C!TfSqA;kC9odqSB#p}aT7e`hdnBsqrH)bjoq!yeL{J*3PYQa(S%^P1Y6H(z9) z1TuR|nZ2dVYiF6)&N6Q*$-H)!*^A2TMP)WUGMgBg-=~s!?JW1j!O8QA*UskbQDye1 z^1&GY=-|f&^BURl>}h58v@&~InLVw1H0I$oviVaZe|j)`UB|H3m3b{J^IBMbX&lRI zVRQDzGJ9kBwK4vG2J`z-j^VYi{Kgo=YhiQt%rbjs`Pvx&+rhsZ%xhl9v&WX%W6SKZ zW%k(e^)U~xdClJ+`3Hm9dpm}`x6Er>nb)@RU&pb$wl!z3F0)sc|2fA0YcRj>2?5B5f_SK8CuU?#e_2TTS7iV9+IQ#0w*;g;lzIt)?)r+&QUYvdP z;_RyzXJ5TI`|8EnS1-=KdU5vEi?gp@oPG7;?5h`NU%fc{>c!btFV4PtarV`Vv#(y9 zef8q(s~2Zqy*T^o#o1RcK0P@5>Xm0-y*T^o#o1Rc&c1qa_SK8CuU?#e_2TTS7iV9+ zIQ#0w*;g;lzIt)?)r+&QUYvdP;_RyzXJ5TI`|8EnS1-=KdU5vEi?gp@oPG7;?5h`N zU%fc{>c!btFV4PtarV`Vv#(x!VQ}`Y-d=n4_S&nr*IvE7_Ui4mS8uPqdVB5F+iS1hUVHWS+N-zMUcJ5c z>g}~xZ?C<2d+pWRYp>p3d-e9(tGCx)y}kD8?X_1wJ6`F(zj}PKy}EaMb+%V$dv&%~ zXM1(FS7&>5wpV9+b+%V$dv&%~XM1(FS7$#!_IIb*zMbvc*}k3a+u6RI?c3SDo$cG% zzMbvc*}k3a+u6RI?c4cspUZju{nxfVymxzewufhXc(#XUdw8~oXM1?Ihi7|uwufhX zc(#XUdwBll_{{$9w|Dz_wx4JFdA6Tt`+2sXXZv}!pJ)4dwx4JFdA6Tt`+2sX=j-_V z9}e5w`x<+Dwzp?{d$zY{dwaIGXM20Lw`Y5Mwzp?{d$zY{dwc%z_{{$9x_A40w$Eq# ze74VL`+T;~XZw7%&u9C5w$Eq#e74VL`+T;~=iB)FpAFmd`x<+Gw&!Piezxaldw#a( zXM29O=VyC*8i;kZ~XbPdi<6p`N_Ul@4i>|y|V9>eXs0$ zW#8-c@$A`G{`>Ujn>BrnS(DA0Y}RD6CYv?ctjT6gHfypUEc=CKvnHE0*)JuVHK&iS zjn8k^^fhKpHfyq3lg*lJ)?~9LU+({|=C_959{&39H-_ICes}o2hmZHi=QnHmUS>@; zYqD9B&6;f1WV0rlHQB7m-+Fw8=ieSSYx)|qCVy{yjahTPA3qqM->m8D&6;f1WV0rl zHQB7mW=+11@B88Ki{T#*|7iH5;g5$udHDEre15a0?`zg%vnHE0*{sQCO*U(?S(DA0 z{L{y0c>c3tv!<^xYw|D0*O)cu`}&UpJ^ugKtm*5`nrzl&vnHE0*{sQCO}>rq``Pfz z;a?B`X87~rSHrI#KHiMaZ`SmE&6;f1WV0rlHQB7mW=%G0vRRY=_V^6X|2}Ni^fhKp z{$hNMS#!Rx|G>!O_ixtp^=3^rYqD9B&6;f1WV7aX`Y(q^*8i;kS^u;C=da#BbJqW? z|EKT$|KHQs=zm|M|5^XD{%8Hq`k(bb>wnh&tp8d6vmZF?f7bu3|EKT$-=AOq`x^bv z`k(bb>wnh&tpE8keuw(syZ&eW&-$O=8UL*Q_pbk^@BQDOU;q0W{m=TJ^*`%>*8i;k zS^u;CXZ_FmpT9Fcv;Oz4|5^V}-}}Emzy9|%`k(bb>wnh&tp8d6^L2b4{qJ4>v;JrO z&mWC{R{wj~|I_#W@6WIQeU1KS{m=TJ^*`%>*8i;kS^u;CXZ_DVAD>zOd)NQ0|EKT$ z-=AOq`x^bv`k(bb>wnh&tpE8oK9BzQuK!v8v;ODL$3Lt8z3cz!d;j<6*Z;mo|FiyQ z{m=TJ^*`%>*8i;kS^u;C=YNdPtpC01f7bug_x|tCum63G{%8Hq`k(bb>wnh&-|N3* z9$Ejh{%8Hq`k(bb>wnh&?C+Yg{%8Hq`k(bb>wnh&tp8d6vmYkwf7bu3|5^XD{%8Hq z{;nzOf7bu3|5^XD{%8Hq`kycNe;=~`XZ_FmpY=cMf7bu3|JmO)W&O|kpY=cMf7bu3 z|5^XD{^t+I&!Ydm>wnh&tp8d6v;Jp)*Oc`?>wnh&tp8d6v;JrO&)4yp^}l!h&-$PB zKkI+i|E&Mn-!*0Z&-$PBKkI+i|E&L6|Fiz*pNyYH|9jW}tp8d6v;JrO&;G6{>wnh& ztp8d6v;JrO&-$Nl<1_1j@A{whKkI+i|E&L6|Fgeq%KD%6KkI+i|E&L6|FiyQ{m*|L zKa2kNuK!v8v;JrO&-$PJT~pTotp8d6v;JrO&-$PB{}1{vfk)Q=tp8d6v;JrO&-$PB zKkI+i|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;CXFq(_|E&L6|FiyQ{m=TJ^*`%>*8i;k z`EvhvChLFJ|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3|5^X@cgN4J z|Gn#f*8i;kS^u;CXZ_FmpY=cMf4+{-p#Qz=f7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6 zv;JrO&-$PBKkI+i|E&M{7vpEw|K9aK>wnh&tp8d6v;JrO&-$PBKi|e@(Er}`KkI+i z|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu}&+)VCfA9LA^*`%>*8i;k zS^u;CXZ_Fm|403oy5mnQ;ZHUTdN&KQS&+?wY!+mHP&Ny)S&+?wY!+m*Ae#l*EXZa- zHVd*@kj;W@7G$#^n+4e{$Yw$I3(96eHVd*@kj;W@7G$#^n+4e{$d~)S57{ipW z*8i;krw=#vz3YGPZt8p2|E&L6|FiyQ{m=TJ_5bwYroMOm&-$PBKkI+i|I>$?`rh@w zcQ^ID>wmu7|8Jf3KkI+i|E&L6|4$!o>U)20{F(aSyZ&eW&-#CQ{g1(~|I7ET|Gm4Z z?_K}1{%8Hq`k(bb>wnh&(}$b--t|B0f7bu3|5^V}ucP0`=hy$<-PHH>`k$}kccuTm zzZmcO-@E>2{Xc!Usqg)h@z3gi@A{whKkNVL!%cnf`ro^o`rh?F>wnh&tp8d6v;JrO zKfV4#rjOs7{`WQdpY=cMf7bughnxD|^}lyF^}XwVzK!3N{`dZJyz77O`k(dx^x>wy z_t)c})&Ji0KkI+i|I>$?`rh@wcQ^ID>wnh&tp8d6v;JrO&-#CQ{YPaVzkmJjYxF*8i;kS^u;BKkI+i|E&L6|FiyQ`+wH|Z2!;tpD*M0tpB~g zJ>K=dcm2=$pY8uy|MUCf&(Z(h^*`%>w*P1S&-VYU|5^XD{%8Hq`k(bb>wmWYXZ_Fm zpY=cMf7btO|IhlL?f+T-^L6}w^uPBP<6ZxI*Z-{l+5VsPKYu#@9R2TI|FiyQ`+wH| zZ2!;tpY=cMf7bu3|5^XD{%8At*8i;kS^u;CXZ_Fi|E&Mn{-5wmWYXZ_Fi|E&N28vmPGS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6 zv;JrO&-$PBKkI+i|E&L6|FiyQ{m=TJ^*`%>*8hCD|NEKsKkI+i|E&L6|FiyQ{m=TJ z^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6v;JrO&)4z$ z*Z*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq z`k(bb>wnh&tp8d6v;ODX`2FjD@A{whKkI+i|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;C zXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6|84(2?~b#(F|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;C zXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6v;JrO&-$PBKkI+i|E&L6|FiyQ z{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6v;JrO z&-$PBKkI+i|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;CXZ_FmpY=cMf7bu3|5^XD{%8Hq z`k(bb>wnh&tp8d6v;JrO&-$PBKkI+i|E&L6|FiyQ{m=TJ^*`%>*8i;kS^u;CXZ_Fm qpY=cMf7bu3|5^XD{%8Hq`k(bb>wnh&tp8d6v;JrO&-$P5{r^8xb?v$U literal 0 HcmV?d00001 diff --git a/lib/python/Plugins/Extensions/WebBrowser/plugin.py b/lib/python/Plugins/Extensions/WebBrowser/plugin.py index 1985c06..b947a74 100644 --- a/lib/python/Plugins/Extensions/WebBrowser/plugin.py +++ b/lib/python/Plugins/Extensions/WebBrowser/plugin.py @@ -426,7 +426,9 @@ class BrowserLauncher(ConfigListScreen, Screen): self.browser_root = "/usr/bin" self.browser_name = "arora" - self.conf_file = "/usr/lib/enigma2/python/Plugins/Extensions/WebBrowser/settings.conf" + + from Tools.Directories import resolveFilename, SCOPE_PLUGINS + self.conf_file = resolveFilename(SCOPE_PLUGINS, "Extensions/WebBrowser/settings.conf") self["actions"] = ActionMap(["OkCancelActions", "ShortcutActions", "WizardActions", "ColorActions", "SetupActions", ], { "red": self.keyCancel, "green": self.keyGo, @@ -549,30 +551,29 @@ class BrowserLauncher(ConfigListScreen, Screen): self.conf_mouse = self.mouse_list[0][0] self.mouse = ConfigSelection(default = self.conf_mouse, choices = self.mouse_list) self.list.append(getConfigListEntry(_('Mouse'), self.mouse)) - + if self.conf_keyboard == "" or self.getHandlerName(self.conf_keyboard) is None: self.conf_keyboard = self.keyboard_list[0][0] self.keyboard = ConfigSelection(default = self.conf_keyboard, choices = self.keyboard_list) self.list.append(getConfigListEntry(_('Keyboard'), self.keyboard)) - if self.conf_alpha == "": - self.conf_alpha = "255" - self.alpha = ConfigSlider(default = int(self.conf_alpha), increment = 10, limits = (0, 255)) - self.list.append(getConfigListEntry(_("Alpha Value"), self.alpha)) - if self.conf_keymap == "": self.conf_keymap = self.getLanguage() - self.lang_list = [("en", "English"), ("de", "German")] + self.lang_list = [("rc_en", "English(RC)"), ("rc_ch", "German(RC)"), ("en", "English"), ("ch", "German")] self.langs = ConfigSelection(default = self.conf_keymap, choices = self.lang_list) - self.list.append(getConfigListEntry(_("Language"), self.langs)) + self.list.append(getConfigListEntry(_(" - Type"), self.langs)) + if self.conf_alpha == "": + self.conf_alpha = "255" + self.alpha = ConfigSlider(default = int(self.conf_alpha), increment = 10, limits = (0, 255)) + self.list.append(getConfigListEntry(_("Alpha Value"), self.alpha)) self["config"].list = self.list self["config"].l.setList(self.list) def getLanguage(self, lang=language.getLanguage()): if self.current_lang_idx == 1: - return "de" - return "en" + return "rc_ch" + return "rc_en" def makeHandlerList(self, data): n = "" @@ -668,8 +669,13 @@ class BrowserLauncher(ConfigListScreen, Screen): mouse_cmd = "export QWS_MOUSE_PROTO=LinuxInput:/dev/input/%s; " % (str(mouse_param)) keymap_param = "" - if self.langs.value == "de": + if self.langs.value == "ch": + keymap_param = ":keymap=/usr/share/keymaps/vuplus/ch.qmap" + elif self.langs.value == "rc_ch": keymap_param = ":keymap=/usr/share/keymaps/vuplus/de.qmap" + elif self.langs.value == "rc_en": + keymap_param = ":keymap=/usr/share/keymaps/vuplus/us.qmap" + kbd_cmd = "export QWS_KEYBOARD=LinuxInput:/dev/input/%s%s; " % (str(keyboard_param), keymap_param) cmd = "%s%s%s%s" % (extra_cmd, kbd_cmd, mouse_cmd, browser_cmd) @@ -693,7 +699,7 @@ class BrowserLauncher(ConfigListScreen, Screen): self.saveConfig() self.info.setText("Starting Webbrowser. Please wait...") if self.lock == False: - if self.langs.value == "de": + if self.langs.value == "ch" or self.langs.value == "rc_ch": language.activateLanguageIndex(1) else: language.activateLanguageIndex(0) diff --git a/lib/python/Plugins/SystemPlugins/FPGAUpgrade/_fpga.so b/lib/python/Plugins/SystemPlugins/FPGAUpgrade/_fpga.so index 078852eb4135c1fd07a1b51188b0b9496fe53d48..52cb658733d69c68f2e246ccc436e1409df18e01 100644 GIT binary patch literal 76456 zcmeIb4SZZjbvJzV5l0D5vUVJzfPqz_*dkG!m8}pZ5wO;WV^hW6*h*rI!)mQvS&H?s z?5=D%Ra9clp#M|^~ z+Pd23|DTz&JA3!;O5vmJ`}=Y2(cCk0=FH5QGiT16JNNE~+dDRtm6bViRX9}+p}<=m zrx9`e|8ktmeNL#+@jFYLI{bYLQRA=TkA!O6GgO+8qlN@r{ePsxWeO@&^qm<1Gr&X` zzs_+cKwycj=G^icY=gI1)~v0E5&Il%kix`MMjStGisa>uacl z?LT4S7fgH>@lvE8Mfa~l-1Us95B0KNfopX8L+HenfY(5GoMjKPq#U5x&83 zmLq1@uSECR^|L*w9E1~KcHL!7uC zH?Q;hDDX+2*V}ZY{=N}^*CEs)v?AP&z-t%*>~J1LxC3E50doB2GbH5F7!rYTzE6u%Q5#%C|*C2Et9F#;8M-kV%(#ZN12pnHt9RI7;o#S+x_*YEK z=l}u-n)0$0;Z}s35HR)B+}nfr9SC9|<{6@ziPniEQ@~6&Aeb+gkM!FCAL6iSa z$hX_`SAeH+qZmNN{xeoZDn;qx4%D>Y2G=i-<_<5vEw80szyK8SG)S@4bEZ_tA8 zCI2iqiG05WKMq(f_ID2T`K)}Uf3T>{pNs0A+re%yk& zo^@I9E8t(qg8u^T4O;L&fd2st9w2`$_+`+$c$2mP*!~LC=dEN}_ac4Nf?og}x8QGqewPK$qW+KtpT~FxE%@(IK48K32s04hh`=?>Z{^?Y>QA|= z{|_PGXW_qv@@BzzxbPoz!J|N*`xgG-BL5#nn6=>3=$~9_et>^7R{rBIdX?bcw3WXK z_)`{q6tG;RcLwDrto-MZKW@Q0L2uN89rQ15!S5jdEqDd+Ll*p}pck~@^~euc@TXDU zZ^1uCdwdqG)?f?X;Og&E*Z3Ribxj@$WqN_i@1$uF-d@JhfvfvK1S1yj< zw=fp)jK+k8v{b;Xa!Anqnj*C7n z^8Xyd$W6KR|0AF$7xw|*MX0p$_aXl%8ii$Et*2iGJd5?0)zrW`e#$CO`cC-Xjx8Fys&g3yl5jT_>-Bdu%O_X6mAP(ium29>OF+B8nIA9ST$TOLBd z!fsf*JC;IU(89fuemf(;4D>cNoV1hIF*%k<3?;$?$=&UJp>Ag&(%(PS?Icr)?)d&} zoegVy(BiP^YkR1BTOjQ848>!EPERV<-|xhA_ru-jX^MU9+$7!Vd~kC^fXp0;?CRUw z&~PX2$-i)Fe>{fP_6)TXf1AM%?;aTm$76})5E-A^AKn{aYx+?Y8#NGTG|0w<_HRoi z`UZE0*C+K&E@eYvXds)`KGGe&FE%ig*x$~s0*fh|w%E|t;lWhjK+I0sG#HJI*a@9z zuMO{rC5GDi_Vy+Fh6e5QEqh~$p8lbIcG|Z6gQ-X!wFe{p!+GSk?T2U$*oD@o(7#>7 zsT^7&5#G|>J)B6yqU;914Y5>rFYdSOdL-7J3Zv5|qqRR4k^2opiGfJUWNeG2a;yOb zz`8K<+xkc&mfD1t7^HA$e|YoIU<}}JH)(WW3WPVu_Q|b57jS(XQo+`#NfIX00GQ_8 z8%wcM+4?(&TZOQNPv%;-!EOBV@pY$aFef!)KAL^K@f{=jfw0`hI8C1T(-=5@A{ zt(Za^h6lS-kPGyCePVYqm$@MkBPtTZ4-Cd5-FsBGkwTN+kOtyZU3fOq(dh2kfW*h3f8!^!1}%t-@~2fn+Ly|2dwKA|TZYEx5Lv+xroogg4;%W2A}JYRHQJk!+lF_g^!(Df>yvFm!(g52QVM#}kWy7x znFcnwkaJs$V$N(+3*L^w$RnU+vU4g`5VRDBB8g;73vqbI;J!zo%cBu2S3TJdQrm8h zh;rpp8|G>P(uQ9~R-FF6UCC6m`_^06B!||#gWy2+Zg+QgI7z61>D@X#jKyaJ3wKX6 zl8SKscET}z8{UNlMlJ8i#XT~hl_kd`&ZF-mwSvrRmV?USaq^4EC5lciMt}gwU$} zZ=f}HH4QXe>>GXb%qKHbZ~qi>DxEjtFVns^xd*JMaBj5l>APnhujPGxSCLz{8GN6D z%bhz7zQzLWAFy5U!I6OWqsfQiRU zJYnMFCO%=}(5j%9;{Tr3DWf^I+pSLW&BGH{QsE)<<83HXpv7CwIKIjyy$=;p1ytOYxq3mv(UsSx*`I zOd2&!L1!oUQ!s|kNsRf)^sPS4%kM)DWh`N-W&0{TB)yt|<1ChkRfYy8ah8V_7G25) zc^LHI1N$QNp7m-w(w>Ig)LfXZfGnpW%jvqxXI6{MQ{F@C^*nhE^Sjc~vR>t!nyf;f zJ4Qc>In`Z@69I|_@&V_U_x+6JXM*{9uG+ML^UaTT_}%RQmnhSh8<_@9dd7n{>@#&4 z=s`#1w|VD(K%E!f{rdU|+qd>->8E=11k*w@t_QHPdS*Lq?}Db z4zF-p>)+vYDLEajE_dpf{!B}4jc*sG1mx7W6M8ks>4A895@!z-E_M{qvnMBSvt;~f z%*`5KmotiaHR>;SYJ6?k_%C`Zo4@vJ2O6t!s>A1Zoab(=be@AQyUF*sQ|`1VT4j(+ zwhMHQQC6c)OWg{iXS+>(@Byp(qXxdps-OM233anwvSlE>V@PLOxxv+afer!hz#GU@ zzAX9PReD@&o#!X(oEIiXoyyu1pzqc%b*QTjGE5yg3f=3a%WBcTOs1uF`i63)$EMC} zp6hZ!$MKMV&{b_NHV3x9b8+ov-Ia!~l*t~$7t)t>j&GO6+oNW!I)=CweWRS5eWty3 z!lVB+)zI@uKY?-7am!el(^5~_2Yoeu6`;S-qAxm;Jj2ep)@xl6S~bv}mrB%o)YQxM z)Lk!j)U~%{`+F+Q^|A8t!ZDOSVEs~kpiY*xcd51ZO1r&u`DLgJ=X+Ft+4d)2ZmVVg z4v&5e`s>AXt;ZNky%VUn1|^H>JjyUHw@z3J+4Mm+S2!w~qmtLyIewS)1WkTi(+wecl`Y7v}&(K4Rx(ey}KySq&=t)~Njh4}?9bLaW z{T5#ro=8j&BsNSnm@FVzp) zFC53mFjh-V-yh!X+rV`mYlpV2RC`-S17!huWgIb4qFL6Vy>s(Y52%{_7Qq#a-FHq=t8yUxz+GR z$T|c9Iu$J>Ew9DG@DlfYyGHsWz!|EG@alvX&3j~Y^%zbdzKMicC{c5)X>52yZJ>(B$R*ca%N$V=;0@ zT+mZ`nDTj(CClXBTTI;=uLbi)%Qo|>aKAKP7S)<#%N^GomI1EI&d9AlbEe(EgTE#> zrGg(<>KV+OJyR4IZXMcUTzaqOvor1o3 zUaf=Y0)^`!%W`a37w<)$UlDmOG9KKMpJ>p1am%*si7DUQ_aZJ@Kjodim=%uRyBFIB zgiKwhVTUxr4hg^xsdv5s{(K$t=qc1QmGyr$LB^X=cMvvX6X;9d$g?|*T-1!~InT6A z=6>uA?=No|MX!Jl8)R(M=TmkO`D*j_CR09$e$meG(*HGwgV?Wi@l^#+dNpPompBm~ z>EXK+>KIQZvuu^vqvcN5o0wPTEMIl2Z*4i`v59*P@$1kZ$$Va-2YAo+=f?B7bTi;p zu)kznpOJAzY0fq9686Zmkdbes!Ap$QQ)%!r-DK%_j`hs#g*Hm9#dEO*o4|A0V6Y28 z;{`SDzO|lmr{qm^0^9vg(=3gv{fVo{{-e*c&~MdbmfLfm}k5j+d81fK)pnVV^2rwoLh>xEJF-_sEOjh4P2A zj&g4S-cB&4-a|ZD)_Kh415zDBWD?Xr1uBJ?-H@f>~@v;imQywPp&z4F3^r;Ry$;U&uhn9;hB9aR*p9= zb1L3g?%d4rK+Jo#PxL+8C$!i;n^v}c3(&ILE&DCUx&I4g&Q^@ww(Qt_)r_5zA+v^I zY_J}E9b@&e37yE$o;Y#>VWd4z#w^{rQV@;q;+G7iQ zb~R)IKByq}&P!^!w_AEg;haVprGvcoqkL9Fe-!Dey)X0w+fsvl@U7OKob3@lvCaT= zNGbo7m(4=n9KL1YHIjZe$~O8t8phuDTC?}%+R6Dh2cMMJIwQ|(X#Y8||H)f48~>r( z@%Jiouh-a?TEwVFugAi_iyr(dW=pa^r{Dhi#u|DZ<9;#?dqlOXn4dt_Ft%HdpPth} z_-{E@d^XaA*gZyK_i_CYnc+S40qb6g{?h(|{He9bOJ0b_I?HKEYxG3*z$10BIN;lKxGeeuRxz1yGt|6i3g8uN@!B`wnL^O@OyRX6&lEPI z4YV1nAXj)-Qpx?R;Gv{7n6xSjPkaKMR@!QVchpL|(WGs+(%xdyrmQ-uOY2wp%5(0?p4iMo_}sg>9v$;5`wBV~<)-f`TzjZXYT$^b-mZPN9XjZRbkKP*ebBpp zB@>xUEB%|e*M1qwiS#|UkJEN$HS*LN=<;XkHJ}xH8Qo5s#`RgfkfQ-Urukgi%{y5$ zWSnU~2cbXvJ@`~^WJj$xuoW=Qu=$^btviK2=WGNoe?Ij*=epN(zUWP*b%(vL40-TX z^Md=j)>^|CJl`RI3;D`)+VAA6(wi>6meNNjZd`mjz4%v(cGn_kYr8?O=hV|xj~C7b zu1V}Sd71QYQ`A&Ixb1m%d<)&TCqh2V(bI_oN%(KnDOaoKfAGgCDnL#ZtvThofiS0 zOy6vH(S&=PUr^)KtlFaQxmW(Q88^K)wT?AmJtxj(&f^N7`mg@kmRhlq2nPTY&*wY| z9odC_=ttAd&&F!`tcK@W+&Z!veWackF?F$R_nZ@Y zQHx%c6GGchrf%}rkp(|DeN>D(uA4Di>K5b0>&*z zNBJ3o!1t}vebv5_|7FUQIXAAN9Orx>+6U!+PS)`jEzfRSje4UB^AbVLE$;F3z4rH$ zwwH}^X=Q1h#rb9Xm~o_6*&)?M&Pc388xSxrpF=zJGX&0S+-L0vO|y0ERjgZAS*mAoymE1ooSQ6Y?GHw zCq6F0lXb!m`KiQnLbq*4Jodw;Pk1r(iD%R2vq~@e&yTr4#Nm<4XSr_YU>%@!C7+ zeLMXPUULdQ33cA+ZbOT@nY30k7t*2)gOJ8~XQQ)D;r{t_v&UQoe-tj~;m>0)!nq){ z^nFejy7x8Am$9d>#;wCRb{rpB8{BI`)Agmtp0>dGXWQWW($Am{dhosE_`h*s`m;X+ z{Siaow$W_)qRqqkLD^Mf?ePqc<0rCku%l2GD1+p~OQxONlQw$H1MG#Atp2>lj%{Co zwqKi-R}D*FE_k$+a*KB0%rnnbKc7D2A+OxCm6D0t>-3nF+KbR1O*@`EE!GaspH)v_lMhAh{}o1d@s7YsydzL;y(8eqI|BYn zt_iJUjJ2*-zJ;uB#i_hp`Ph%$StwKDlR>Oz7bYXrHR1t+vC19`g=gfc`7B{+ssO^L?e|YXr|R*SXGw#@L?z`wQE1 zwNtL1!D~F87s=VzRp0i0{vvw=&O^7H%bY|zVE^W1oxafnKF#mr@Qt>?HyVU*RCNAE zv~?re+KjP8Q2MjU+{50)xR%$Z&Ax&8Y$u+tsAnu98+_ivGaz=GAWv#4V^ z7WnE!?wBvOf|svO{M+n<*sNR|36oDNaZh`Kx_Tqq6gB5Jtk=~m&FIobm2^z- zSvPGUInO7sy*@~q_)dPB_5@x>dvI>-r|R>WH!Qx-Jk!Pf@lVs2sHx|)b=Hgek^V}^ z9rPl7$$V~fa<$L-0$}}|ycc?!x~9yzy!JJhzM=2WOGa)rGSY-`qRyg@q0Xa@JMBS( z`h;UE`zqcOcgh1-=8ud$=M4FA=%IXBW812-?a(*@4;<<)%A}mxa-Y$vzfzA+HTd=t z)|FXnUEw-9^W&DWHd({*9PJd=61C69vtsT!y`B|wy@7mAQs-1b=a5I$NJGCT*_ZQ} zi(FfH-@Lg6zFd7z+a_r4XzUvTNEnJUd&d!JV9{2O`UWn+k3%_85eJ8MSu{?4mh4W1`b$6xd)$FZUQ zfGwO|Cuon-SI2a|e?gxa@0)PXImvtas2H=)vbXj+dv@+}Gv{6J8FMc3J>&Yy=O+V| z*h`^pz0+;Ae6RE>oXx4Pd@()aYA@xy&g?lDlOIlHCG^CTlYF)-&va{=v|VxduEKdD zb4vDWucxB+g|*Iw7n_&kV$2?^IqGg&tYBR8K>Odmi}Cwwz$15 z=CslWm>+7d#=5FNpZ(#!K;7fHfV3gVXF5h6dR;P2y;*K}?zfi@R_T3@ zJ>+S;JGj_AXXE!Ivy*3MFUNU!#k z+CxrF|9tn5L67!|oaz0pwj<(Qg?tkoDn1OlYFgVQD=eGD4_SeHE1Trt`*JqP@%QI!lCwLtO~N^T`r*=c$Z2DT zP>!o!hrLndVQ*9#S!EvOv6#Kl4S^vd>79=j7!XBW)GhElj5kL%T)Jr7?~A%u5zmVqc*07qDxbOyPXD z=MT@T*goP?^XLXyZ&e?({-v*mIu`E~skNB4f&Q<~w<1p<{T3aZ zwJ(aywfcG8CodgLJ82qrlGmE1bZH6Qc=q4CWby0Jkx%96NY0NLqvsoCPOG%idj9Q( ze|#s0?Q4t`%ChKs$IPFZBDx+g-6(#mKKVwSn(7IsX0*$xImmZ%g6f?d-Oje!F4R?E zhvTe64K_HGuZ^yJmUGNHlCzn=4!!qOntnd_nt0?=A>TyCXbZ?Qn|cp_hUjSVXHb^D zYWl`AlUlY~$1XrmFEjo?@@~w7cdUoDTd#*s=YB4CzUEZ=xCajH6omAf%sBC$J|oOC zHP^)6ELK1LZLGiAgSIW(Y>RxONBY-^J}ohGNYX|?2WRB->>JTHve%}*VS7&X6v~oa zr_@)h?ZUfJkG8YD2mct4d!2QluE0-5sJTG@AKN46+vz)H zf4$@m@}~Ie_Gt@WrEDp_vM#P|GDqC=;=n&Itb6INV;inB<4oJ5*m#N@N*k&Fx%N>e zcu!ig@3qHX+3`hm>FjH|jYnY*cETPE!5-w?<-T`2=I&1C`N=LkLyY3g+;-FKa_hfnRU=Pl5!tZ4meD6MT&k;mldQoPVku~14Jbp_;l|{Z< zQ<{O-h5L}fV>;K6CZuysWuD!h2MiwD(~NxjLmxIYQAWu#bzv9QZt_1=pFf}2Hu`+H zw~+TySSNj+h_mP4NnhRxZ-f47!+xB$1AKXSKA`tnXV(YrD;# z>nxs&p8mW!%XYOj=VV_=SrGpo?~D26$u~Ja!?ws-CetqRQ_1zsIF~1FjUo+x%>bVb zifm~-En6Ql?V(&ke?nf>bH%_u)Bi5O@=S>LoHzCyfscHWe)2sApZ6Tw-G=Xksq^Z9 zhmej~c=BE^=k9hj&V|o`C_m5_st)?3-1?6B!ejZCmRsLx8hn@FWO}`+znGpPt{?Rw zq~%>+?y)y2U-HDH_J>m5c_vlcBcqQL$|ZTp^$2IkaMTUojKlpkrtXcPCo;==us$9m zF8Hi)dGD0BGzy;yBdGQ-w0_9581_}3#jvlo9m777CiNS17j(h1;D7#e7w1*2-=?A8 zRi`dk8k^0(!4a^`TYCj+|AbehYjj zr|16M=($P{J;ymOx`uTNJ=sUd`x^GU8hk$e(oYs%pSd^E?{ntAU)L4Q=P&K>mEZ+J z-WvHP!&9ysVn5+qhg?fAr}UnQWjG(W{_uIT7q3a5>pVgpeS1txt@E$V>At$3HSz2q zp4VibHE}KEe1m)`*=sW6%5=)KnuD-$YXXk*q)UF;Mv?c2O*!hsQnvPk29NrKJP;mk zGkUktqYmN_pL~?(nqK<>vB#S+cbh!u;P-&kT=J@eyyiG~(WT8zJHHrR;T6Y|XS=q0 z)J;C24%O#UXA$Ln4dRL(RP~?E|F-F3)?L)8bY-#UYG?m`;rUQ(JjpM%QS1%rn~W!H zR%O3<@tQcqU+j3+7a7l6&3Lkn)E~{zvC|&BXMM%SR%E7F9VnX}GkvdpHl(9C^L69@@Sd;oY#8%XJrl;rn4!D>?M4hx+SY!;dE5ioJ<7Qt1izUXePI`(~xHA(N!(bw-G5 zB=x=6pS*Y5pOi_;i})K^KhDJkZZz|T@*`(^dlUJ5v)2Y$V?>`)x9EGm)2U_GEvHNu zIaO=6OHMgAfip=wjzh8i?xDZcm&c1?!vw; z=DbL;=N(RoHqp;@=@s=2rR?6S)7Tunm``J_{S^5u{<%x9v=-R#%opCup2ls%tFcn` z>hDLhe)67#FT5oL|&KluMq~mv35e-1ttDdKVVY-0XFhdmQ=B z2IWuu2^@3j1J@gl&Fsd)u_0~D6UvyXA7>86n8xoHaIZFN_KPg$A1`1&3O%o9ql@*IvpqkJzx;xk$HFJ@AYIH~-v6@ZSutCjvNHWx;auY!=X%e4 z_w#z{70McIAL>&tA2h}pk9E?U8^;9YWoVmMd?v5+b8X(tT&-l;zID`^!$19D97*Lh%CgPMz;^$}UrW-;wWZsP&h8d7}i$m zusRPpB+aV~kEFbmJH9|Uw)u8z&Ml;CR*TJJ_~rGj4z@?0ce&d$Yue+UODrSbfOD65 z6=l5eFEf3n&sTWLd&+pReb}MD(lX-Shl%|p`!IfgfxcDloiIM|Thj0K0-llR?^t{J zp=o2v{#E44t&7-CjyKyV>#+No+}vN9IS79+Y;LS!)*OV5$MRP=>y`hSdy`p@c_qFU zRTpHa-UEkiC}z|5FW3fc^TnSioUf(mu2=-!*W1?V^WI}hzaed6j_JR`{|a6J<~(m5 zi-I5WU2~nLeVfsbJdv*S;8n5xwb;YlFJm26dWAA`&ciMsj^hMhr5<~ikzJ{q-_aPw znQMOkM05f1#V1diD?Hle_#SVo@r`b=veiGPSlk)8v>S~*3^2~wHz@&||)4X^_ zdt5&&YgNyDg8@h!X*BKe@~?33GmAaQwbtHh z;s<(iqAA>&HYPeZ&OU49XY4@aLW1H68hW><&J9y z*X%PMw3sfwNrh*gSGmurv0n0sbyK!fUF8rR+~b8xrJG16FCWiC#s4Y$Hn&aerW*u( zS>Lj4N4-oJnSQsakMdUkiF|o8YoJ=k8V!&5OcF8%fANIcKXSe0{;Je-Uzua_?3n$M zJptv_<^|g(dX;&iR}~)kTj!d?Y$JJHZu-G_8dP(3m*T5D-`C@*pYIE<%-Lc#i0@Aq zp0niZty$ijggvF#doO-cugd%tpA6?apX<~F@QfB?(6%ps3|L0=4)fjqZ03tSMjna& zIPBunV(668UzOk2yztT&^v7b&&aSJpb=>2``i1WtBjQkY$fwY+7xuZ7Z8CDUP)F3m zPgIAqmG*gG%#%s(C3wD-zwO680qd(i*ChdJ+1xwI_IQbJ*?rF7u|HXOj5szNCyours!@g981*k= zjBqAM`OJCVOvVWB$mNYuDV;BTk}=|XW{(m1_Ik%?w8R*RPnLGN=Ig2S1@PUTyX3i+ z9jymCK2|u^7-Oz)+4sg5GbR`QSdYnJ>;t3N2XM;o-mND9h z`woNGLI8uOq{yH9Kt&a0o64($i7 zX_#v`oBM3K*L6;B`)bqFqP<6wJ+)E?aLgYZvDx&(Yd*lX^<#Chv>QO{_P0<=7@E$NOUCIH%>? zES%H--t{k}3p!5!?DkUUsfBIY|BUuhZwcA+vX_o~=$EqJtnqq-`=-jU!Z)! zv^}|wK8b!{-@MvuU%|bS?Ayd|N13?6%q?xZhWK8Kl4087QP-I;wco=2igt$D12p8X zd(8K;;Z-@TL-Krpdn)%cf-}$Sz8;5d*aq7$Xgwp?h<>tt6Zkd<&%??&6-lecern{0 z-S|y4eI9{$Oq)iUdN;?EW!l6Kx>h+= z@SBiXUh%uW@XcEN<~sA7@x##0{+ekY_diy-ERE~Ud1ZOC)0j2Rzk0^54yjX8#!WKeAY_8 zCEJK8j{o!{={9hE;#n1riTiyk&Qr{P_<*<<(ED1_U|BqmQ2Si+md}*s*^t2WsdN5m zxRqzhDT@l0Jf>kB;V0I99P&fX?){FDXWh?y-g8gP{%}0#!$h3rJ)eCsUCzGUSAvcM z-|%IIALNNW?zEGkchb;1*r((_hYx&5>n5*fIBf5E`0C+LSNtJNKmK|6@##-{XPyjd zzUXhAYnh^4!;hxMNcv7b**N4k#$@tFp@n?<^YM;~t`jhMnVla7CT;sHJ9ud3b(Q&s)*$UccvvHYlCMw(wrY?k^0_*=~*x$5;2I1->TTXBi*)jX*c=Yr%Uz zcwgt@{nOvpyf5ZY<+|7Pd|Pdk%MO$^r`Yq8orbR0n8G(aNxCCN=(2y*qtwsjg*WX^;Mtv^mb4FU7{p zdA9g`A#d&PAFv&jPkOAOU&3Hu)lWCw(O^w7rPuD3)Jc=DG(Mht=2litG_SE`|4K~{~f{VR|>x)xB|9>rUN|*y$fEX!Ha_L2p&AH z`BiMc$TlARN};aRYk$z0MZQ~h_zbUDxf-(<-`W9njslBp?&ZOO<+wA?#AwGL29onHf&ix*Rz_hLD zGp>fuc-o^5;_*8Pu!$6nX57<8rhUyl1oLS_6Q<3~JdU^Qo85cn!@sZjE_k~=Y=FAHBQD;U9`O5ml#^u_`v8@q1KTA{B&ovKrk$V2kbJSIj7tTYL z7yCrt=j2e}yWmg{Qf(5qiI|2-{%A(^>}YZ<%yh-$#cpJnwrZ zd~R^kjBy+L1i9e1Oehyz*Ms020{5FrHgIx4*=}C%?sIJueDP_y*Yzh29r`**7rzUv zz9ZWV_-50NW~5WDcA9qZTkeHvkO$0fuk#wzgPiyDyY+hLAoz!s&EclmguY&7wSi*- z9O$+D_Hf)~OwO7yLD}>`wr|uIT3)-5eiL~4QD3>EeMfpP88v+)E&7>w_9w@GJ)g*b zmW3?>n_0_Su|AWJ*z3b?d5+Ke)%Y=u_+EP04_t(F(6pKNE0M;2a9>)9HCTLMOrvcr z^N03yHEZo3f`?pizYE^uf**0geJ;4y1@CsjJuW!rf}<|D+Xe4(!FZ~aH-2Fk z{ICmtp9|jMf**3h54zxOF8F>I9CE>1T<~TWe4h*MaKXRgf;YL~jV^eD3vP44tuDC5 z1;5(`zsm(TyWo3V@ZB!>E*IS7g70*}?{L9)xZp+?yv_x$b-`;~@NF)*-UZ+4g6mxH zDi?gS3$As+H@V;&U2u&Hewzz^s|$XM3ts7h-|T|l+{6%hY=~%%A-t^FLov3*8)e>s_l?ozr>1OVjT_9quTlc--yO+;&gKU?ItX_C8EE|Zzhzb+I0zR3pF=o>Fokdg z;UGc^;pU|O=A@w!N%{L@kz~pb80oQ*c&s}Wivr>1s6Ud}JvfWA3^7r?FOkWacDSyPDV)nu`Z;m<++AuJro8W}QgRy<#FyiFC zzTM$yESX9S?MDLJH-vx>?lx~jmVe8xN6=LNP>(;gKOXb1zB#$t4@x)3@v5HV5GAx< z;}9Mm+%q_|Z_pvt+vEFFy+eckXe^~W8}Sds28I&*$%;LB6zkC&;Gq%2-Kn93zh`K8 zFuLYS$04m~Uy_lNh>?Y{q#sZ$k?ie@D;m8qe|)HKFcnLn{z&%+hWipRC!(T~sGye` zP7Ee*PsUOu5hL+@m@anc_F=X+xb|LRn4ADA(u7=*e9Vf~$%6nGs7u6e6jrUzZQ%?E@`xM`JA5IJpqV8BY8c9Xq#G}lGbHnS%RPhfE5A4Di+#J2ziD;q3 zl#ppv&y>2GlW$+miIRwQ$NKihFoNKpH|`?vDBD6`PX(KFI3DiqjU=4AIjxND*m8Fi z5}S$%+&2jM$Bc;jS6dmY>vL1PAvY;V!+(piKeyaXAiO)43M(<+8|il}S_PR4QQWe{ zq8Ljgh7#d{MRZ2>Z_#Df<;s%TWKXs)J!H{ve0L%erSf#s zw6hoJ%A(6!U6JN2x~xZ69BWjPPyoZ6pzeN92z2H`y>LK}Gb$0=jRk|saoa*`-{Lr$ zk)q3X_Ydug^!xWl5`B?f{V`~{I?%26I}W~mr`8_78wGhcC$GfXsn#KXs~Z93*aVP- z65Hd1*=D4*g~FS+gx9xrZrZXrykW}&o7+@cTl<5XTHC{$HgCvgwr*)_S9x38*SCc? zwGozr*}V3x>$ka5RhiJ%E%$C+e_sLqgY8>4ZFp~fvV#U~+u9o5u&JXx99rMG5zS;; z=lZQ-(=MI5Vg06#@Rm^f<}AA7>}cO=mEN{#2ily&)5S@zee2dOTXhu*NyFRPJ6&zj z>8APjZQ6V<%3}WdjZAT!54R2t?u{i<8-@qFxq5|Ci8?V2ZmIY09qNnTf>>#JrPlif zdxmboKo+5c^6LkqTlNhuf=ay~MARP;3^c4=*LcS}?rgd%va359>tR33-du4{M^%~g zAnxN2WitJ^@7j^cj3J&td=&94;!}t_-opC%+rWF#xt37h-W{Q$y`Ew?$aoD75edipd8}r-$XgY@z0wg?Q@Anap9t$G@7%oIrg3SSB-z zxc7I#&uh@0$xNmW@ye$%nGVELh~tRkUq}BCpZ^BRA@={biIx zJcf8XV*lTv9O7SIzvRF#Iq*vk{E`Fzc{osQno{=Y){s;7*xQz>{#Zj$VrOsyB|Co8<@`=Em2<^aD0RF8Oo08ziSNqNbd#C zM&Pjh#c;-ea~3#<5F4C49+ zE&=Dz@O-92>hl;|KgyrjTe@#;zQqWuTXu?29ZfO7#j zoh9lz3!Lgl=QG$wNL>Yee+@X7fO9O@o{1`}(SD?_tU#MTG@n_D*tB`0h2jt=h`j!f z&S#o(bv4;I9J45J&Ob4qq0AXN0WVn_1KzQZS>xf-U94{kIA=dTpP@c5wDR;Dan1tg z$%B~hC2(E?&beR9lj}SlvE3_C!J%KrnC1HB+3q&rO{C{D+jI5h&0FfTUf`Ssj^fj& zmrzdyI+yt_Tehrn+47v|DxMw!?(Fz{rW$R;t-`f=>POOX();v$=1JTeoV@lE=R7hx z56x$05gVNCZaV76mOe9|SqXVCI6*fKe6)C+aD6xJDUi8Y;Pg+;XU21F zF2Jd*f}VQ{vYX>|-gvOhb-+3I4d}uWb#(w|`f1R~)s@E|%1InJb-xGxkj|G;Vd(0n zVtU{Ra65k=W0I@0U~WzW=j=E0*31H&mw?muE$|?xPkJp8aoE2qyj(GL0{sIc4KE9D z>Vb23x_Di+pc4X4)yetHMcf-Yo;q^`cxQljBv;=?cbkp?r|m_o;ko`7jNLSFj+`zn zZ!ZC71~@xQ(5b@nzN$Z(&(Pj6?JlUR9ym{bH%|`~;Dms4>dbtGHjx*dTJR+WoE3j; z*^AH>UhClz;GLh*_O_vwCr^IRng&k&pXAwb1#Nl>I7j{zIs!xtoxHgOGEOE_cI9JL z$KhxzhqMqHsd^gjA_H9$3SjKZs$PO8sw}GT;fJ$g-n%alQWqYt_Vo4RNoge2>&E%_ zfYsyOy?x#PQ7!0+^!58wL;fK=%2k~B_vo}$hhl!R@6i|^NIn`%;1T=3>y_94XpAHA zA5y=0LRW`p?ph$plehoknyN0%6#nj^=r6tnUiHTlLwH;{Fwi%+`xnlEZFuIz z=V&-RGKixWa%4e2Lo^Cc`X@2Q?HR}zf*-+$;2_MwC_fC`(9OCvvw&w1rV*wP zCJ@FEMiF?$5qBYk5P}E+1U~{VAMf9$?;YIF{UP><2r~$Bt*8ZUz*7hl2;&H&2yuii zgb+dyA%NgVxOfxjB6b+eHTMUgi!g)0Yudz9h$j%LR)H?!QG_@`7eWXjh!8;F2weyvgdjoy!H?iWa2U)rcN{bkW)P+krVv6m zqP>X65k?X0D-O8Jq=l@!AnpSO_9OQ39%1gAn0Me6uSF{ZN|V_cL6GcMDYGTJ6$ zdR?XZeQ}3F=0jU>1?_z57QD-+^4V5gMf1mXeja^43P^dj30Kj4)ApkIlmlEv>!)0~ z^Q(~{^&9yvN}n==t7yJDSW%+>gL;L^D-UxtTmQvd3hCSVq58u7I;;G#+f;sD|Ly*r zT~k=zZ3d4&uV^lv->dVB_HX)~ET7N6AX21$uIae)%2!$WmvsKLJ^%ey{*yrfuKxRxAoQK} z%+BYJ9|@8_<&kgmzpa(UEAr~M$A85J=I6KH9^a_W&ns`!pWUd+=jHp6B<(Y6bJ6^R zdhO23x7)`x9amny9|=O=toKFpFL;!<={IjC`F#3z|Jt?`=KC%EKBx18_W0WTON9!{ z+w}YKK`vZH+h4zx+4=Hkmp`xa^UHHcgg>-paplps%hR?kl~3EbR6cF#Qu(y4-T71r z(!R#`7Uo~D^2c{DKfnL>_#J#-VR?J~J-f4X{^^HH=O2H6VZL4eRJbtTk0j||yo>qy z?YH^wk1)UZ_?-60xB26Avv@vzyM0|z<`<{m7%R;8BT3pnrt&Y(lOLP@sh+~}cK-Rk z!hE~`qmLBkZ@232(hiHf`h!;fV;?9iZ`1Eh6z1FVH)&pbpZR)WdAt65TU+n;*WJ7Mfw%h`*EFtK=d8a51$GY( zJ7svf=Tw$CYm)m1QjuMVQwbgS${k-pz*%o+O?3ZYWT3CxS<@Ry_9_sCbeu{!Yd~%d zPLL=0>XQwGk%yPRSln5o-WFPu;=5LB?%NdFhWdtgC7m@r$N<_9UmSAQ#CpR$@U6ze zy-^ev2vobf!?BU>SUeR*Bk|_k8oqCa;!zzv(hYQyP!+;WuK<)ALUl<^dshgx(+$1TTOI#4!CC!P0#tM3Y4D=zn5}3zLy2 z^hPbbu4mxaLeSeCWZ)9KkKvy5*pDgTFgRBtn2S8-#ib&P_ag8RPOy-ZJtqC3s%~D0rRln{}SYdw+st@(3O`_oR0Kcn5%I4R5v|-?s3^fHzhI?*$9* z5bzEGPuhg6?EQBwJo;)60}rB*y`&B2EW9b;O#yG3gKaM1-(LYX{q@Cvg!c-5gl7g8 z|A@zSAUTUiAL7`{xi%py+rGcWJ=;LOjMMiFJRbpbNxlCQFzc0zcwLwya!KDguZb5h z8FNTjCP*fa`TvISkC-2lRrZfL*<_m3g;z4N_gzR|20Z%`Jl0_~Tj9M~GLa{@j4gIK z_5bQP6W3`!>HmW~_Je)qoV4kk@!{PK%ul(5p1^iY9`LF#wNi&^Sl3K_rD0tIb&`fP z7pZ$RtS2P(jD|HLb%}<#JfXd&T@^;6sULV%s9tCtpkX~BIoCBz&FH8(tzkXE)Z9fv zg>w~?)f{D9;atr~&qqWRj&3OD9j^+-IX%BLtVf>nNW;rj%=x0>YgNp7q2U!Oru=Id z1A~il&a1+Cqlzid8rF=V+-lgKS;V&n3sc^U|IZl`Q%lwsDIbl+z^OFoY zLVdvt@8>HD(w{PCxpFN_f6AO|ojZU>oy)5n3h-ADvwft1w_qH)8V&*GNE7Zwe8Ixk zH6alf>rWv*Xx0A_z@aRBjgtm!*Z*0-<7RwW|5JeFBE3HVp!kahlsVTq)MFD?d;S#g zlNS6Vz(*~ZvVF{gc?NXKg7M0a^Rxxu1o*fG-v;=E1-}chTx?$l;X3pgG28!s#PrWc z4LpFD{&;~u1epH!ohJX+5!2sZXW%a&rhk0|WJzxdF#YML4g5S{`p-`p_|E{-Uw+2G z{{t}nV+OthF#X-L2EP^X5v*~X31yg1?*mMK_q4&^1DO8p zl?LW{V*0aZOnH1{-l6}x+2sEgVEU^sn*84bO#gJJf&UyZ{m}ydD`5Jcc^-`Iy&fuu z{$`%bCVVGg`j^2%1>X;t{^X+ueiZPNLGahWzYUoF;wc0FF<|Wx({u zb{hO|0jB?za!UGV0Mp-k%#{C2!1S*kF!28enEuq)4EzSD;AzNf6=2TSTL9CaI&Jcs z0n@)I^0x)>;S0I>%`>U=uL{3D44D2@o_S__4gseBQR@35VER*!8~Wb@O#kV)fxiow z{?e#{{~9p;qrC=x6)^pwJO@nrmn{MQ4`3%CpY#1KfMEALeS*I<21_0B) zIc@M;m>z16{|w;MR)1arTxIoVIR~~I@?`jR z6JYu?&zkn!1DO8H?FQZec*bgf1Tg)V!tXtR9jpEWfa$;FS!?o#XD;cleA3YS24MOp zXDfC74B(Cz^?aee;JHcqCu!rc{A$3J$iElmnI8d6|KvqO?}LEpkE}KLp8-t&x3Yh-GenanJ!1Nb38W^vu zI~RfPQ|+yGrU0L__;(gC{fC18w}3BL^q0a!q5tqzL+?hw^cPYOlOJ~hzW5QWDW<*m z0j57t`ri$h{y*XOqkwsSW~phs5^|EMqi5HQd02tWTAFwg4<{3F04fFb4z|6c&}ypG?%S6>Z& zTJVj4r!D-u0MB9kG=8)%^sh~!eWd>r!1Skyye_#0y7(yOkima5VEW5s{BHxi!m57@;Hg=1O80*s z;A-TbQgF5NIl%O%oi_D74VeD3Iz#`vfax#8lveFI2iRxP{};gYm&y8my$|v_33)c- zy9Th>&t>J;JNEz{KM&%#%F35J8v#?cH2jmy{mciydHG>CE!y)puKa%iEN5;= z?U+! z2)rG^AK7m$1AjjWSe=JL9`iqoKRhGFi||+Qhv%kv5k7@KK@0v%z#$9%JHTBQdwBUaL9J1icpvbx` zcm-gd7fY%3T~L7kmKlj79HLfM+fEw*ia4#Rqx$D&RRQ|2SY}93mpW{u^O)vA(|o%=49V z<(l9B0GMZCc@h7LYIUpr5dQ|ia%PtKw*d}V_|1TW7W@Feu=2^D0l@U{5ts9KAK*EQUYhvX_0MsB3$Qxhtmwg31MIi*PXG>B z@OJ@=zjHbKoqqy2XyrTIi4-29_4KUi#<%%eJMlrNj!oM-@qxZ@xGfKQPpg#`9SZA@ zZ-v#fvv6d1#K8kGe2x+ywp??^+B??ai%0mjTwipA&>fC`AQv4T7}ziMv~O-x)oj2= zvD(7|u^PG0)uJBMsap8Wt~K59xD(#dapyoRnT+g?@xCE27*6#jVv%THlyFnrfGV?L zFwD1b!hGXIB{U9(yN44AJiyDQy<-qxSBoja4FUBX!ft$tGDY+|dZOW|&S{AEgp-MG zMb2Ska-Tg^-^1#}M+-OO;bSYfp})Guly-cQa&Hb~W&~fV%$8{FjdkyFf!ovvF|9;= zLNo`p>Bp(9d5Ix>QZlul)#ngf`}@$#Ha;26R)_Dtxs&gU4DRlaMcLTYIGxQNDK`qv|oX^1EIl2Xy@k;$$&nUXZoaT zSRak5?E8|tLnv#Cd3;WfRP#!1i=|Y_ExR7cHf~!0^Q#yltB_u>E-yrUZjJBfq-;bX zn%C*|>bs>|hEwt39I{~MwbT0ItWCAAFGO_H;V=iveE2mdLFzk#e9I`b-%4zY^&3od z9Up-W_YV#3&QIwXN(@9G)T*e#8tQf>C|R;G_@UJNGVugH0hgZyk;qS=dQx*Pk5pfG zuVjRG-232;hCA~blj7WMYxKNC8!<|Ho?>B9=#)f($77g3NV3I6}F)2CmFbSSg zVDeZ;o)lQ=j^R&UQceo2WG@P~6c|*I0=xe)?Z|06FHzIP(oVI-Wy9%a1>RebJX`G*XwUPXO|6w zZazDi{ahp~S=(e6bYrwP+!YRowzhY6zBjz#fzA4(pIQA@gg3sG}BhjIKvZCbWVhQnN$|lUf62(9?q(6=g6_rPjIZ8U*8DPIz_{qq;)iImZ z-mq59fB7=A&6YO(Epgj;Fe8ON6r-HYYG2o&1Sd8SPwmfs4O(j>b7V_NT7JViF*{o$ z-Mz8)JGvR=^)#EQBqOgw*{oval*yAvOV3n> z6c|Y{rqT`Qc>+|`vupB<3 zDC#9%5kQ(UY_LG_W5DZ^4@UaK(V=0Sgv%}X%64whR5b=y?OcApqN+^{oe#3PY;8Gd zHplrrUw&P_JJA=%W?(OhqQylr+Z$y)DT#uUgzUB^VoW~ut=Mg_>~rl1b%!1a*=AhU z@XI0pO1EZFj>pO}OeK`j#gCb*@8qjb6e}YieaU^&Tc#t~2hru2L`1wm8Gb|1bX zoQme_`g1V0&*bGPlt?t1m#lZ?+H})fB$LwKuud=7f?*R^ zt4@Ev>?+KvZED`oH)0xY;9hE)7l?NCc~X-$acr^iv!6xQrkzm!%%s{MlFZ-cR;g+sTgGU znfpGvDID$|!So)EB%)zsJO_iV+qbW8*%WTTIcST-14cWOrm1V&ez+3`^coNj?;gNc zvax4N@W&6r@7WvPYQD{`8mshwv_G`h^nLsG@VYgP?22ZVtC=lBL;WgARTti|S^Z>5 zYePG9tU3#wOeF@psG0_jYV)S&vVbZ`i;Oj(4ta!H3Rs6@U(b zZQsx#woLV1(h~dV^$HV;4hFysnpY=&ID#A=Ocqoy=x5uGgsEa`y z?F?_wyHPDsp-3VbQ@_8GYZdskp6WuQZ|PPZB&?XB{SV}+v&rWIj`R$ z5N&HwM^7>q+mp`~R>Dcu*0;Bh2kv!My7Enf(U_@QcN6o|OxW;_&IdO)1j3jVBRJi@ zx1r%q+^e}pb%mv&XQ(}If)G_Ekog6dynJ2ded>o{vi%KHwu~V4boUP>E&i>=V3Q<- zTrDuUeys&x3M3>y&5SRE(KR*5<5b~%YU6xLrV{=6Dk-krjNxuL8&fe4o+mIF2?99Zk-SlkE`&L;O^>H=qana9>z}<~hn0>T6oJ;P-GVn= zdisa<$#8YxS31JlRi~ED?z}26R(Rnh+dS$Rrzd}*r1W!EQ$4BoP|R%F(a>n@Oydm? zainz5_?r#gkrcEjJ$1S~-K$bG8Yx_k!W>;K?_8Y>Az6$?A!nPq0m{z_^9Pjlptj;i zoTxsPUR7R0tZ=f*i0tW1V-}c#fXr|txv~w!Z3aP$l7CXdRyvVgQ1p2!2l_Y?wM&O% zN|-G@i{0_o$Ua-G=hS(2l-F^TV`AO6_l4lG3hy2n3CHmg(-5^2wy=8xd395P8ew^0 ra9`hG6h=T2zy5{hw6WAoO zySuXGAiIkrgQ2Qcp@th;U#^-T>QZ0X2?pHOfG(KSfN2f*f}tr0Fl`8?h&E6cTB#pm}Kej8!J)K*-Ty6-!RUT7rfkXu|e-Q_WgA#70SP1KN0{QbQ z5H9>JMwIQ&1Jf;pV;R98r=lk#LfEbiv~+g)Ai3G*O^%r0QS&1)e|C`$X z0erA2+98)~;m5b?^1v+X)q_Bgh`j)d;I9L-*TDC{6~c-46o?XdbvFu83jY)exgLHI z9BwHI<`ZY#kq@QAeBxKbN4oef_(&J0^pP&kd@p9RgGX)=F1~`hFSwcfzgGxJmoB!S9A!0!LX~2S<7Hs!*Q%dk_9nhRfl6 za9+5LaFoZxaJR$R7t}&5wE_Is8~1N9?kSg6xPBw75BEVh%6%~$noPDw4Sa}AUOVu2 zCERueMWyy1gkJ@>LSsMtX1F)OZPsDb`JHg@f!hT4W;lu;O)TCDM}4Kv+zV%4)QLK{ z`{3?|YlEXctcH6C?$uoDHBkfnb#QAmrY=D}MJ-$m?oK%BPc@u<-KuX!;9L6s4(*#> zrvdK5J@t}TJ6tc^+u?@csOtf^9ynf&a4EP10j_$ukh~LOi}nY#Pfsd3{Ixo)L;LU0 z{-fHDXrCVX$=vm>xjP{?;Qj#vU+#9uh$}}@lAhAdMBFi?V&Ej=EFx!YWiB~DKPR79I@G3$48g$5gP?pnB zl2WwVzXIFoncr^E6`|8tz-RnFA%14aF7F0GIiEu3v*Xh}W}ir^goLYxB*82CpJzTd!Wfh`075wOR=v{%#MpLWuVUReoN zW?&@t0<(S_3?(AXZ&02z5Henf-vRwBjhx1xL;f=cz8>jM8Td5tw1M9M`ojh;0iHB) z1Mq}_$54LSz-N(P+`yY5zYYU00uCBDKzSK>JNWk-coFbk82A_Av;JB5_Qm@D1kN(( zKZpE025z9d4BToP1Ozkv*Btm$2wQs#+7Uj>Yeado+5phr{TlQ$27U+XbIQQ~MtK_e zF5trkwvgYXfd|0vgn_RGecHhLQDy-AK>Z~@yFec|;y(l2Vc_pO#V&*}k$^8FI> zn=x=99zQi2t0Uy?^GY@B69W2K^of{lgCYbCi)b z=pR9TaRdJW>eFH1dmQQghz}a^-N5$6_IeZe2^jGoN4(#_FCah5z-h|cz$<};fj@)v zvw9*xdA||yGX`!2|ECN*Zo zuNNSF+=zc1^c@C{g5RKlIR~^a3pe&WkYTsMl{xrdPx%_@8y)gLg?PUaU+GByGY9@> z&|3!mCh+eu@F55NOJvN5zlH6?NgOV=&!4b;4BUhKP8s+?)V~Mz(USCw#N)vB#rb0f z&IkK}E-CxtPQ;(W_(FTa@#X!%$1(n(7~pHgXN>eLUxe1Qt#4e_7Fxf0^~RR=Q2VOJ zww90xg}VC(2SUkIIFSkg_73!>M5sF+iuNYsBGd&8n#gdNx8c6tM`I%Nwr!yevF_ev zDwb&K3n!DYqzG+USGPLe9d26QvJIeplSH}TS{bZpniY)(W=!q!?pO*eAmeS}J|ju3 zpAld(HIy`imv^De&``WP5st=Mf{~5>kmwqW#|A`KG8TJ8bfsc_eIlOfNyNg@Py~Nd z;D1YPolX)`F~M!3E7CWZWSnBFb_ENJB@%;)P=B(!r8gK6{o%g8L3pwLWGp3;sYE2c zV=h6nU-A|0LtedukyM}PVwob@7mGoTTiRP~iL`H=yW6y`)=%LMhdX<>)z;pFd&)DE z+7XXI3|)gQY@gxbP&}4M4pRK79ieT05!}%-90_e08c6l_$6Cmw4r*O*qWfe0gNYqx z$f{H-(c3wc%1g9y2U@bTy8W9>u83DS^?8Dhx*>pR~ai=;wpVkrs$dGro+BUsXg*2T8Z-EWMgS|JNy@Eckm zi3}wYv8aYkeX(#twtGKXRQ8GPp>QG^3P*lts5g;U&_FEF8`0%4cQ&YG#!Q!pAxA3R z0|VQ82cqay$)SE`qc{w$P7L;IX3b>b&cQ?qRBeL;-I8Y0U~e>-q7K*z2NV5al29xU z48+5cM{Kz?4i5IoL`)3|Et=LOrOV3oq4VT20tc(@%yi~Wg9DLpYSlorIo6k>T$R+E zcEyKM$y~_T zqG>{o4W-a_QdL5Rp+uLob*(?H6xa@+p67H}r(YF~ zDtgh^+nG#7Bg>Z6BnNBmChVWP>yAW1NupYYM^tzSW`7taq$?Uug*ljsP)yy1I$>Sq zSch2LBVr&b)-*MRR@C^#nzq))rp=piUnk(NYHSVF)~ry$q2)Ep=kEL*PXz~9?%B=v zPZ9_hMq{4?Vr{DtoC2lzSyDCyS4Apewp?y?fbOv*M6P$gW7M`{$}lO)qYg_J=*Wn zeoFhp+8@#WsP^}2e@y%PwEu+m_iO)Y?H|zoLG2&WzUNU@zcTGtXy4L)RQn^^Piucv z`+K#&U;C5VKcfB9+J6E2Dr|?7n2#0?t9fbR4k1nuKZ^FD{~ql9&>z8m5q6skv2j_A zO%D36UH`EXaEXeB{Xf{h)I0Oh!kO%6msW_+AM%J}hxWgBccxGTJp14K(ahE7E!o*| zDj+*Q)AYzR|D({C{%Gcj*RNdq79EGQ8Ivwx(8V8Ix%AzyQ+bdMWo3%QOra${yOgzJ zz8=lX8Svr*FP3=jP=$E*P`NlP`6^HE&diK7PE?3MSvh!I03It4RzAmP^BD6kPd}cq z(jUqk9;&TeJn;_KV-uw~d)QD3W8x`KPhg4k(J|KR>`37h>CcY1#tTG~FO8}$^K20R zk`0u3t;Yq+gXZ~WMw%wUPX_#e?`JdMNAg|;-pTjo870H=^l0Yj(C#tv^#ph-6c&#P zu=cVFj}DFcSYKjZOlRqIYf(;-Xw>n$4>Hbug}4{LugaS*-O0u)q zqMeOn6{5-G5=~V#q616jLf=)uh49PZlV8#@kC#Up$I8=>Wq$e&cSG^c&aqqfjMkOo z#Nmr~x`fA~O<(OR^umT)dqjg6^+m;=stC$0-r04K>96tZfjnjkqX=W3%!_%>jzq`A z?JkincL^^J;?gMHTi%KDov3)ZAT3UwbTxeKWMf?^%1D>HaemM#JmBmL;F|ei=g#*n zv>SOP_9BjTJ@!2%t5eXaYUosz_~aoU^vZ%>RcO8PK9Re zuQe%r={=c!(DRo^0taVD0#nqR>8;QBl%7pwR->)YkGQ7l(B57vAiPyL%K#0=bV)OV zw8ZB}+~Z8M2)a8v(m3UYY{5^)>*>Jx%_lS9DFdFMUrM+9(5>S#Ps^q9TcFE)EK?=| z?h7NG2dQrYG-K)aWxVwrF0pgeM_Tg0vY0<K~uS7!~x)ROjvckhY#?1jsex!s@lzL4u*`;foto3w+fZ}#Z;6TkWF z(mMC&5Bc4nI#lgGa;VA;-Eu>>+~C`-Y!>;G`Xml7Wx2L~2=`UK3nR_ro1y7Sm;NAg zex!5!ozN%MFZN~Xk#=@u^_ZQeXlGj5E2d+dODvG`SfF(c^C=(o@cc-0ylCg{DLb9l zuk-=N2zfs~XVY0O^GYL)*FP!s3~5{pC$CbrTA#`9%Okf*9rhl0()IGlT~nJO+w&vc zsGW^fqs-^ZAkHFF6J|hJgApUON`mE zOb@xsJ_^}qigyMMLjD=ZKLhz^ApZ>HFYQ&m`?E`#cQ#uH{+rNeXmj>uehj^1dBhiW z9O@yyk8s9QAJgA;>SO+RR4gXOywF9+wbUc_9Q46%V3730tqqk$!ZUsy!l`GNqmTba z+a~lx)MNLU9Ai!dw9G9R>3^A(N3!Ujmmh1+eMj+B4BL8**jNfi@4PnqxlP zr!BWQwYAabwVENt-D6%HI2DNi?s2YS(4H~weHc&eJSL3rNhAEQaUU@5Ck=YP5#DFq zR~z@XeJ%oTMdGQkWmc0Y6zMtJz0A`IerF1^Sv6jrKcnh=G5ZDBiN@-yQ0Mr2AHz5v zMcq0u=GbFv6l2U|$n#0$*@@>G(w^z}j-oA}ueVTsfq1@}wx+VYODys{25B^n6^Mu) zcbhQoK3~7Ayb1X|UrqYU(^WJ?HBV)z1IPQPF^)O&n~y*0Rh3T5G#-&YcsV*@(6Mhr zZ!SxF`rArICos;{VVv`0oU6t-SA}uTXODAwJZnc^?0EPYX*h>Y`JAh?n!8ddTowur1(q$|dU;fsDk|X&nZh z#($6vplDvr&|&K4DC*OU^qpgz=b(P|aQ-t$<3Sz14Igrq^PJQFTebg{=Q)!&p_?r8 z?oA7(Q}d62>vHptV)5MAud;zsw5w|Y#RDE`r)IPAr~-Vt#siQM`Bm*{yFIWi_rVC(EAy!_nh+wce-|8jr^a64sZ+vU1syn+r~kg0c{4f;B}^O7VX5k z1b4bCe~I>BT}Zzbv@Pf-oUh6;T|9^JJTNz&AJ=2>8S%90E1=uFqtQ1eo;*aG+_5v_ zJMV4ujR3PRbqqK9;<(3n*znVW#=1V-pJTYDpT4uvw^h@gKt5}AxX<6{Yu5LtkzYXH z*VQ)q>h%5S@@57Z^pGf$Vu ztF23GcPU+>Y^Y0@lOJ{I^eLw<<<<`D{{i%W>V@6^+16{(r=9bE_Wb~K7j{qS5Y|s+ zdfYhp@TeR|7;p1PdgL>8x%{Z7w6|NKqjug5Yu4C{F@^k>Y5uQlzp(-mqhpIPufdZ) z3~ zBKN39f!Vj zNxB<#9LIG$tyH!R@NZlu{F^%5EZ>c}u#L+_Q0@zPPY72v+TFGrR~gXLwwP=h`SYx8l5|Ts(7#ZOwL`o3~(2w2=K+JcG5j8gtFL70YBfOj9JD zR($#cf^!`&)>RDWJZDyqtF|suZ>UGNpk2Is1EN9F&&`Wqnir2#{>4%+7Q?<3O8ot7 z2c|ZKn0pkCa~voaJJi^XvN*3m805a#5ofu6uH$|N-`-p4_?nWFwYn?<(eipcYXFyHOB68>_vLhn5*b{>ru$b5>GDmfNx7o9y$wK z@AV5U?}fDckTd%mpIznNiskZSY)4*lZ08(oOv~DXxuGSVMw=bWyqrx-8K!g`b+B0H z#W4cS2KhDVd7he^GEX~yjt$J4v|L|!Am3L*Px(}wR5F}TrxuAHR@XtNXt$&s()OH9 zV9u7CpHqi84u)W(3&i7?Gwz;jgKX-=Q%mc`Czg^=A)*r;+g>L=;CEqv&TBm_ylejy zHQhUbCq^E}xIbASnkRpmeK+?18hvia!fQ?AdHIhYq7GJwKLH=LmGCo*%S&9|`fkDb z_OkjP!sZ;yoXO5W_8-rjm3pY`fVXN|aDK}^$GZBzs_Hs}eUl38o0RK4l4A68>Q9l_ zGlnOOVGGn=$>|z3?-uTbJ~w2*a&r1FT*xDXJY?HiSm(2hlV4F~ICTkW53!uPWq+X^ zZ5g`@dwy(dA)ZQKg?>^Xp2YktT939%FRE%57tmK&NAkx0Ht{CKkA*fNFYq(ec@JfU zetr!4Ec+|^FZ&y5*az9qN@YJR#Ja*ael={e>@R*@KTwkvYYj1qvY1CX=8@E4_D81< zb3ehhmtOSSmmp)N|KIGJuobk20`;Rjj(u6lBR9uV`%-54v|F-#)Zu2xhqmgNY=31> z?0ANs(tU(BlkPFQ`QT>cLj8|NNm7d zH+ay4IWP8B^;q>BczhOZtn|ws8~RQ-_3P4gwg-CiC&!k{HlW?wFm|UN|1nPb!N%5kI#YlSBCS9=Tz zh%aef#GWbE6wTvqJ+4D9UH_=#+}JP3AA36FSjKT*jXzJ0-Jt7(HAlJ#{e|Zp#O6*dL;(vwBzL= zl${i^-8mO+*Xg}g9WLr0=QPy61zP`}&ssOKoP#Kj{9)Z`^qUUX=XCtjI^N_3vYIma zq3)3%+5^c?PWN5^Q|brzDX{;0+-W;=YYKZ#(yrUb|E_0f2j$)eZJTplaYEP6ZSQAU z0kPL^Td6;g4`qvXA+P?OEpk0-=TnINg1m{P>9Ma7?Wc5-=e_p1DbIXOUCPB-g^Xi4Ze30h_H zSSE#fdLcuUl|fn9A9^l>vamNq`;YTG@TK;BKDm_o%W;-@+WS6~9rcQ~hCJA7WOLn2 zzU=ih>9|*9uC>iIxVbjB*X;KGkhy0>eX-a5YEP#JHn$slI$f~8F|sNU0w zj@x@WkfB_MKMVcmo{rT2|D|+i8g0;kHmDb$IaDV;eaJ6x-X(C}g*I`XrIx4vTgH1B zbM^z1BKqjRRJz4C#xVw!^86i7c8o88dEopU&k0}+`3@J>l^6$w@TTA4YD{}z4L!o^ z*$o@8aZK$kcEaXNVjmE8Pxn*OUjs~i|5xLUMGh?mkhv$}yeAb#ZWqHkO$PDr~U#GZ7yI3Vxq#Fup0 z5#LRs8#ZLrS1CS#wn`U}x0kagWc&j0Bk3<=S>-e0AG6&uy&8AAP*yJQm$C;W?TgtD zNSYr(C*}S+c=T3H7I>|wSX{LS_UOlWPJ{fqvF?faj$s{lP5CF}`5D$cuqBtu-Rqwb ztgE+tTG;vgJo{tTPjHRPe8}HVanCT$XINi`{eWRCpXniwztfm~rTueCR|>=zeSva3 z1?P@b--0&sdeV5W5NF{2j|(9ln=BI_M;X6|HO1Zx>_|b|!$nKH<&%i(o>1$vm;A1k z3&G>U0&kFSL;Yj|Z%#fg#0Mq|#QU*6`y$q7qrS!dFA3UY@8+%oWwSf}m_Fy<&ZX@&7xyVRXF5i^m!1^O?;3>+7x=ElI3vgAdNrPuW1pM)T_l#k zmQ;@KZ_r~p_P(K;O2>6R?K%&RRc0Pu(9W$JFb`zEEylc;VX!~?>_CNcp12?7G5uT6 zuHaqnn}C0u>EhWNz22+R>%A9_IqR7_dslq#!MOK6%u%1k9&%db__tiwYW{ilLRoMf z$MvVTO08cvqdqL(JWpo1`SdN4|M+ES*%tNxi9C*8rd;x9uazm|`RYO5_Wz}l-%;53 zX4v=uY<6{Tj`{7J5{gKSt_rmr=#^se8 zD#sp9R#x1x4|{?EIoE@NMrNKsDB3a&!GMoCyrp8 zxODEm7UP4>2RV~-G1!9!dkzFXzR!HY$4_V@(j1>$<2Q*-vMx7>2j}WxrVkqFnWsnB zg>!wb8NY%&(YvRdYiimk)`4epu$6M{@y7^b-R(0^mh*z%)3(n%$=4S^$GTG&CjMOM z!eQt_8+2hUbfFo#5P&W;Ko{zv3w6*1>_2Z?l%`!Z9SC0Kb&Ksy-*tb|(E}lZ)Zk)p02{x`%&C}86 zIY;!R-=FD#?9XEDIEH!rg{}V}?brK@uJYl$Qu2T|w(-o$zbwB+%#_l`Vx}?$8D$`& z3}lpnjG#A1pf{g{-aH4r$?ZD^p)c2BtxkHTeWf%)Ov>{nrhBz%X!}X;0*`L+$aL4L zSN!qr{^zj|<-!@_tK-!p%EtdWo0fUt`G)adK<}7dh|%$%V~k}!+&AEym$uUDS94*| zPEl{mC>vXs_sacmIZiMSTlcV!d*~2!4?gw|Em@YWCtj>wn9u8}Cs;euj-w10#u2>N z_RP|bReB7WlI*_Nx4rS}rMz-{5(rYs#C2+iQ=-9ohy_R(mp0 zo!?>b_qV8*JtsK)saIF8bN@m0I`E%VFA>VG*O?=)u3imD_wQ}50*)(g(*Stj>ji)FvU85wk*JYjzg_J+^blun)1I$VzN zq-s~^IG+EO&q(@qHDZqz8_AGweQSp$~rz zwvFjrs6TBH)1AT?$~v&Va%@E&EF*re>Th;C^Q@<8S7eIoeT?@W->%Ms|BenQ=V-ZHl@Kh*Ybg{D!6JmKU^JLJzN9aD0HC?_J;MW7k{$! ze#!zeG;K{C+KY9y>&ZGtp}VBxdbJ+$MQc2jKG0MlFP@7sKkhXx({v4>sYblti03*v ztmDt4j@4*4+G;6tJSWBcP1{w4JcO&zw?aH7dGu?%5V#umkVytI$v`F;N7X+2X6VPi zVvI>6f94y2y(S&}d1mOyqbli_-zh>WJzbRUyYytbvM$K;<;(fADsQ$#c5z}swHa8%6rYidibQ0$q zZpK9s=Ed4U_aRx9-EY1NJtA+^w{IIdRF6KvaQK(-EuTxlvqM}5Nt>{%W$a#gPNd4= z9yGNZeM_1BcJxX&8DF&UX9G3(q%a9r<5tyNt{Dg;Ta(E$}!Hj ztL5Nt+b^C*+kQZoqsHXibHO|>;MlvzkRR)?8{usG-?pqClr^BsqK)5rQ1z8b*!Zom z@teixV6Q#{TmNaa(WhYRkD%{-@=yS_zX7(tUiYK&^v5#O!(YghxY$k;u;2UOK9b?S z@Tp->rGMwQDyN6PzO*8|!ROieVeF&cgl9PxkFUX=l21H!=m_MG{UbS6V%;nCEPyuW z^M15#o}IhL7vX&DK7?7I_dYQ#pW(z_6UIjDsg`%(e35ZUv7ZZx zqyIvWt0jMU#soS?T8z0E=bn`La&5Ortdac`;hBRdcadoJd9C-$dcuZCp13ApxtMc% z5QcHObL@eF2hp0ma7MLPxQ>7~51v!vzRn2p`DG#WEIjI)9{$o&u2(oF^8A4H;qxR? zAJH!_fRJH~7(Xp#U z6uOlb>qSt;;Vg9QLbelYcb==br;0@UU@M-ZW*c!W--vqnpby@|Lc~{o4|Wo3*P{aK zdH49+3MR0&pDrvGKOFaZHX(;b{hU+__Oy;=8Vdp*zF%R+^V}EfR#`UchBX;vBGYah z&66RUOJ@+3$Pxz&<$k?p~L*Nl-dQ0p%Q1jR= zpd%0Jj3F}4XDBSRaS_UUDH|-K4Za=^ixrC}$5{^RD)(`b=Q8L7Xb%Z7bnr`PE4TPo zWg0sck{>+(FK`Y|`EUjm?Sd=N9(B-Obp_f}4%#c#?L`OemFjlEL3^dTc{=lD#`Dn2$=Bzg zos$FFK(+>edxi2dQkTSoQ`iqfpM7BJemr}Rjv!8e9yWTMx~J#^ zF7PdF6ZZw1py$9-c;*pd>N!f}?Rv8wr&L{e*4Hv-j{hu=&s>mKHEwodt=J*>%+n7M z4!e2~G8+5DMK$J(f{$XH16Xp7(;<1WZL%f)JVRSs5q@mUYwd6qipLI+A0Zw)S|nON zbhT*u&_b~rVY`9%OkRz%5ZZm(6z;iWPKB}NPcrs1UyH=3)QjJ{Nc*v33cNrrPr`;M zyH+eV;+dT%$MIgl)b}OLClRmg+!ukdUpK}xT_yj3>(>ZleYwZD8TDv}&N9v)K3>Ui zd(Kn6>&dBmX>$A+hY_#p@0?7$B? z@FoXtci;^U{0;{WI`I7t{5A((>%eOqc(nt!I51|EdHT`hz>N+JE1XCFRtIiy;QJi7 z-huCN;JX~S&Vg4r@Nx&Pbzr{(-|4_L4t$3L-|oOw4!p#HeGa_Xfp2qQuLD~Se5(V$ z(ShIKz!eUBivz#jfp2!;atAJR;OiZ@)PYMJ*aK|aCEJ(w?_waD$&mz>GX8!jr5}4Ae54x!m;*}S(BXE1+_Q6fU9fUgycO32%+-A5a z96oJjZG5nG4L$^w>cLm|@M$f4R*he?!pE1^Zy%_#KL>S3wY3c&`MLvsa(i!g?gMcM zKqm6f9k&aA?eZ0McinwY{mO7>BpT}?N9*rpiiG}NA3g&Z8i;M@m;ORBr)UfxaT?r# z09+eEX&0HpL3}N8E&V3(D`XU?ppOOi{iaLQT|e+y}c z1|At0+&&;!+MRJ(Pb(Tr;d^Ycs1>&GO)7k&&ccV#9?8q0+8P)H9hk${;06;`*Wl1V zv}O^$Wli>1^8-|VWsN47`q39g|&uFcvwe*t6rPWqA(T#7opbc0K z_026ZZbQqe=1^-hv7K-(u4TijjgC;6Cb(h!nhmS&zl45M%ZAp~59J37Ftl+)Q)qQ7 zzB(FQ)xMT|BCLJYhLGk5WUJ?1@>q z2C+X78J6FPydo*`OARFkl6T@8qgNyh$MKm{SY_oWt?kjN9$&M>T!7YXN z`HKtq{D*LVCYuf7-hv;6-vECY{$}`N@Kf+7;g7&S0>9^IHhTho*|ManJwg-Oj^V#eK{pYjUqwqa{mCe2YfB%VW)_q-pI0C-{{_!tovjO-o!ru(P z_$%3L9RAB+&1Uz(-+U6~!teQ7HhTts`Cn(V=iwiPZ@mt?S*NnuweX+*2GYSl`c0&R zZ=FUu_#NNLW@q59{cbi}geU*b!LNqD_is^e`1}4Yn;nI}e+GQQPyHa9Jq^F@huQ2b z{DvQ)ekBFs?AdI#4*vds$Y!^~Kk-sFn}+||^_m1;lfY{dcufMYN#HdJye5IyB=DL9 z{(qD}xvo^fqZ@*vVC2@T80Qcbtj`uk*K;covkDxJyb4ORjg7+*&|> zj=@>~6MKE|K~vRM;wdaCVXVsc1qAO!yv2B2To=v4?L*j$D&M0;TT46(OG>UPDP2%< zy}P8Wu;d1rfhm_Z3T7cWMZS=X555IgcL;lo@ZE4MKUm^%my{Hilqwb^9p!TL*^5~m z{MdB$&bnBjp*MTBOMJ>clgRcH77qd^BbVkFF<}7HAf@c3^X#5B- zf-3sIdNErJS~oE2w>Dbhxw@pJsHAjZ$@N#2lr1Q^!Ci7=VaZKwPp08-n-zTxeH^|{ z6TDIy>S-LbFZ|8L>>1qaG*!*Wk8xv&d*ammaR(6B{`HI5Sw}h_@NvXdedA*GKu)d! zr&vjM4s-{;i8F#+9XC5fDlE|9VH#2Yt&7=e&}$xphDfDdtVcxPI~TM3ojpy{(Np4C zR8n$vNoi5Z^$SbNt}3}vOqjh zP|c8R7A(y9yr1tv;=Bvn_+7ny*bNJ(dh#Ovi-0^v*w!2Qf5icRx5G*eTKrWaDT)80 zdfUZF;V1DUAXabEdKAB?V@LcK`FZt^#@G`7MJ20Z6+-HF?j4VcFLS-4q^&k;Y2H_zXs z^6^AqSKvR|8ThS(PemD!x1IOPM z569o*O~OsUrQ!J7gmL&Ca6vfp3TW&% z!Y$l;Yz&{j?Z@Bq<8S!!cl&tp_xbpne8p6w>Y>(UqW_)o++mL0EjurM#a%80jR!*u3lr&l`o*1Ytb z!`Sh0i>Vgo#hdxNy=JbtdpkXC^L&>bfBF^T&%Z)EZRC8Hoj+~xe3u^oT$J5q3 z4o{L$r1pD$mN|6tvf<2hGx@@JM`cMsz)y=?i7%6LcpL2k#Nlkxfdoj2m+ zE17pTPksS2{$9rC*WcuCe*@z$z3lR<-^@5idZT^H-op5N{i-tJkG?{D`&*elpZ~Q8 zvCHpRb!mLii051oS6=m2H? z!4JZ&kR2N$dgY5l_*IzBaE|-3cI8Ggs59PQQ2RHa6QnCHP>y|Z0UR&V6~WOYGK_R? z{Gl5yRPhLsbfjGYcMTlr_96k@3|e(AEy6u;_rW2#r0cmB^Ch@-Y<+!!6?rw`FQyrT z%f&Ff3J zCl9Re4CrP+7hogm%P#llz(z5WP8jWL=f}QHI=@ao^Oz7N`ko%+=>84vm*^kxT5>CzC#H5-+^FCVko-UWL+HC>x_N zd%vmS7F5n`>4X#sT=l3T!n(; zB>E-vl~S77Den?3jzMn9~ymxy3KCl!#p)Jby29uNOh^!g%y91G%>I>#gb9Zc`VJ|Sp`QPkXCCh*0MG9|z|8w?X4?0du`urSVI^T;FmD$NaLuay<+Fh)dveZ;pDv_VNN(EKy@V zlP5(90krR`+6??ULeuX%=NE@ zc4qls2IhMAxQ;&q%=K%J#%>G&ay_c~Uj)qGNGAX6Pq#4sUdT-6=LP2ab3&(I3e5E& z&nj8oTY!;8`n?_4gZ8u}M*jllZ(JAY_+j8` zBmXCX{lFeg|9xQomb6X(D`4)G+wxn42=0yB_>I8agIDFypFL3=L+Y4-uS032AL1244=IEGaytd!Oe*ipd-~+&7GaN3)rEzYUx==wAY! zFz|1HCk^bul<2U5-vm5u;5P%?7v-_ZfxCcD8T7-9*YlMU$P*tzk$e5jgYx-P;8}zI z3#5ODu`2!)uskQw_y@q;zu;Lp>-$q+o+a>NeiwjwzQBw4T1@Ez2Ch(3JQ+{^R{{r( z_*P)_SU1J4-v^T0gMue2N$G zDq#C!{U37RKHz{szY92MV1Ag>zL@@x9QaRxI}G~21h(hn9@yV60Q0=auK(Wv#|`?w z1Lk=S>%;o}3OH@VUyUWign@4co;2_sz=sXo06cBr^}weL90r~-@G!Bq$JEbJ;8`R7 zBfvcS!Y~ARru&B11|$M+h;k2fcD_U`Zg2m zi?Fu!yDqGH;k_Jr+Trg9Kn0cDT!+bLVPwS zM^GE@3MCT}8A^(~x}u?|%&$HkO7$dS;i$@JK%-uX@9B>vli}`|vdcal%g*l&e{|NeM55zBj3Je25-^voL}Up~xBuV0(Y z3$tI3y!16oDYqobmv6i$6_c`3J+a6m`AqO7Sk!RMrY*JiNIZczhVz4>v2b6XvuypUsme{gWp7u}khT$V zskG;hv_)ygUPjs`i7oB8{4jydN=~YIv{I^?GAC6{LaF9e%Mg+#$=7QgXi828%}I{m zb7<#>5~MD!RQ(XRWn~hrgsv;2w#*-4cFtz?X@>g;qeD1u%4^HM!GZ4lkgmZ*f7sEO zJ+a|@qQQuRf^RUZA|c96_`(D}Jt5U>#at*2N6U&!vRW70Zkr7G=?dVWdI23>N63#T z$PZ1(_k?M8bdKsn7aLrFX2zpBwvyD}6CZfI$5e<-y2fpzLFd3+FqAAXSUHRpfu z!4a-s30I-!i{vc*ZkBG_*f?K{4&fUXkwkAC*=eLr>kaowk>!mGkg8IfhLHsNCmJc{j#52ccUz?!z!#-`Bn8b9_rR9!-= zqEV@@WCMKY1>I)~6p>{cHb;m;(7~=?S8IFWDh5LqLa%e_C8@hUEbz-pJ?w-LN z_V*2R;!tE5-zXUhC!!%ek_G}zn>Vj&Yz@`oyj|tFaR(+R{VLN?sJkCun}H@LAaN0T z+qTdK{e2k8RE+8q?F(+xb=1H!0-CnDbQWiOhfvdqp3LksvMH+rOL1{!VoJhuOg~-)^6&?rx z1=tO(kI0E6W_rOL58@*^iC9;N(%>Tq!NlP34yiz0iCDfgbt5$U(FnEz;QI~3S^*3l zY8xDUWC*j&?zrg1_>fl{yDgiSBb%;dEcQr#T`5=UeRJ=&UOs!Fa#!WG4n$*iaa-Cq zt*iBips2%muwh$m?LD}c>MxB8AMt3((^-<*I;}sjkr%IuxLM#qQ;exgRlk|4pG+nC@~uQ%&EZ24%;ZzF z0NU7Sg`ev=waZPL-x8F=VrWZytNP58wn#`ti-c^*!<@p+DG@$K(i;JhtxIUC4eF~g zN?_p6QJbz*tS_(mgHpMamC=p5UL}_EpRSw`{pjiP5f@uyny4{z-M1BLZ&a___?{BT zLR$v5zgy=uXKHjk?pM=MM{D{;*QEwz%RtzX3#y3!CYN&@X~Aq%_B<5AaG>m==$9p$ zi6HuoO7wQ^YW=K&nhCH1(((-0x~D|79?v6n^$l)U0^?8<$;%Yd#)nzv7-F9mUHJnG zRg+zeP1xHxl+yMqw57IAPYCovFbH+)i^bw*0dv+1)1OE<1Qw7}6U1Cjnbe$6f) z?u4<->+mpe;iy@?P)zEZVaPB8-4Nbx+R2=KoNKrhY`B>HRj8KUAU7JihlfLPJUuo@ z+knZ-Hh*5(X#Y*R{T~?E-a8P*Xqm)EzQ9gX6Glcq`?{kxb3)X%BYzqp)M`=(aw`3Q E0Io8d9{>OV diff --git a/lib/python/Plugins/SystemPlugins/FPGAUpgrade/fpga.py b/lib/python/Plugins/SystemPlugins/FPGAUpgrade/fpga.py index 437e654..8819dac 100644 --- a/lib/python/Plugins/SystemPlugins/FPGAUpgrade/fpga.py +++ b/lib/python/Plugins/SystemPlugins/FPGAUpgrade/fpga.py @@ -77,6 +77,9 @@ NO_TAR_DEVICE_PATH = _fpga.NO_TAR_DEVICE_PATH FAIL_OPEN_SRC_FILE = _fpga.FAIL_OPEN_SRC_FILE ZERO_SRC_FILE_SIZE = _fpga.ZERO_SRC_FILE_SIZE FAIL_OPEN_DEVICE = _fpga.FAIL_OPEN_DEVICE +ERROR_FILE_SIZE = _fpga.ERROR_FILE_SIZE +ERROR_SET_ACTION_CODE = _fpga.ERROR_SET_ACTION_CODE +ERROR_PROGRAMMING = _fpga.ERROR_PROGRAMMING class Fpga(_object): __swig_setmethods__ = {} __setattr__ = lambda self, name, value: _swig_setattr(self, Fpga, name, value) diff --git a/lib/python/Plugins/SystemPlugins/FPGAUpgrade/plugin.py b/lib/python/Plugins/SystemPlugins/FPGAUpgrade/plugin.py index df71d0b..313e162 100644 --- a/lib/python/Plugins/SystemPlugins/FPGAUpgrade/plugin.py +++ b/lib/python/Plugins/SystemPlugins/FPGAUpgrade/plugin.py @@ -23,11 +23,11 @@ from Components.Sources.StaticText import StaticText class UpgradeStatus(Screen): skin = """ - + - + """ def __init__(self, session, parent, timeout = 10): @@ -37,7 +37,7 @@ class UpgradeStatus(Screen): self["actions"] = ActionMap(["OkCancelActions"], { "ok": self.keyExit, - }, -1) + }, -2) self.is_done = 0 self.exit_count = 0 @@ -59,6 +59,7 @@ class UpgradeStatus(Screen): self.timer_check_progress.callback.append(self.callbackDoCheckProgress) interval = self.parent.FPGA.get_interval() self.timer_check_progress.start(interval) + self.need_restart = False def callbackDoCheckProgress(self): self.status = self.parent.FPGA.get_status() @@ -76,9 +77,13 @@ class UpgradeStatus(Screen): self.timer_exit = eTimer() self.timer_exit.callback.append(self.callbackExit) self.timer_exit.start(1000) - elif self.status == -1 or self.status == -2: + elif self.status < 0:#elif self.status == -1 or self.status == -2: #print "fpga-upgrade error >> errno : [%d]" % (self.status) - self.status_bar.setText(_("Error[%d]. Press Cancel to exit." % (self.status))) + ERROR_MSG = '' + ERROR_CODE = int(self.status) * -1 + ERROR_MSG = self.parent.FPGA.get_error_msg(ERROR_CODE, ERROR_MSG) + self.status_bar.setText("Fail to update!!") + self["info"].setText(_("Error[%d] : %s.\nPress OK to exit." % (self.status, ERROR_MSG))) self.timer_check_progress.stop() self.is_done = 1 else: @@ -86,6 +91,7 @@ class UpgradeStatus(Screen): self.status_bar.setText(_("%d / 100" % (self.status))) def callbackExit(self): + self.need_restart = True if self.exit_count == self.timeout: self.timer_exit.stop() self.keyExit() @@ -93,6 +99,9 @@ class UpgradeStatus(Screen): self.instance.setTitle("%s (%d)" % (self.title_str, (self.timeout-self.exit_count))) def keyExit(self): + if self.need_restart: + from Screens.Standby import TryQuitMainloop + self.session.open(TryQuitMainloop, 2) if self.is_done : self.close() diff --git a/lib/python/Plugins/SystemPlugins/FirmwareUpgrade/_fu.so b/lib/python/Plugins/SystemPlugins/FirmwareUpgrade/_fu.so index 32b39c0b4f5d9820216e32f7c130ee9b0aa670b3..3aa21d872202f98f260c6685c1bb1b8e0c9ab1f7 100644 GIT binary patch delta 14371 zcmb_jeO#1PzCX`1!>fpcA`UOk3p@@;grlONk&UQ`=IW%V~*`Y*@oq|Zrg6OQL(M--0$z1Gx*fqy`THXefa$5 zd%oxO_xqjKXXa3SP}k3Obr$*R(F5s)whNCkMiRm3k?6_adKS+pDN|b?D6&u(h|LUpn*y=W?)|ibAWwGvdTw*PeQ1!LZxgt zi&NWSkB9OnApMr88~Lfc9o%0Vt}l_@4+YaoktdR&d@L(Oy0*QsQHSL^^7?+d>6_&H2kWLF+1;xG$&Xzu^%Fr zflE(zZ2=*8h!;lpyB%%TgbX$ zcr*%=0>6Y|ZJG>=(4b$#-$r_!hQEb!1tvI4lsBRQ54XmcrD{Gs#+*>D$=i=SF1{sZ zf!nExEr7}n4Sx(R+BJL+YP4wh1JJ;r;SZxc)9@yg@7s025duy94TZ zxHJT=(BxVNC0%^{5VPBP#q&kx2uy3BGXfo;b5)=U?KpgeMF&TP>PW4jLF5ejJ?fJBOMJ z`?lWj!Ev)Fa)D(6 z*9j~axJ_WCK#UQ!-aP_q1l9{|2qFL0m@u|Q#I*@LE3i{wm%ww}nmlUkCBb?HUKRMc zz~_CBRkJU*{; z>y*qB{O4(@vgJG8y2zrh@-iR)E-hQGtL3)QrdYjC*J@y$UcHacA8nG6xM{S>w(hS~ zg->VnRC^n0t7;;c!_cwI=hZRG2%4nP%%W?krW3DlavsagS9m$;8}7&jIfr z@6;9K_|j|5KV6k)WHZ=nnKCmN=}1_p=#q6T!&t`1SedO-(tOo5tC&G4W2>`Lk@cxZKf48eIpEvmJ@wE$vQ!D@j2EN@pj+L8l$Jm8_3eL zEFXW~S|sQA_;u?v6KQmmd5RRIcJFkZC+DWYsXBwD=)z9EEPcX+hoM;DpDXqc^-5nT zSN3z$+9WE6e)}Up8hkDROX3_R!m)NN>gKwvruhD3$!pF=nZh|6$DR*hHVBh1`tMIMtRt za@~$Pod$YaQQ|mE!)n^cAqjzAvw_CpI&(rR(Y)Gm#7+G`l*);3D_dE+vtWQNKG z)N;RN4x`mW?NIku)n@t1TT&&*#zdp%khd69)@o<6)#8%XLNKy~IrLPinud6pMW_yl zV3EqBP@2-HGAd6qf-24Nxmsk*u|(hQmX$EX?(?@=^!`(cSOqQY4BtF9)g5kO&>Xp% zT1Si2GSf#YcHc489IHo`?Y_2FC(NhbW2mZ{ota}4{mD~V`e)QZ61I)8*@MenEULeRrH0&( zZs0Fl#w?{aXdqF&DDQ1X8>nNjjkq7Qp&1+)L^tXlin_0UzwV5^gQkafBBeG}H9gb^ z;Vw-=*#Xt;71g2$Z77i#*EFWzKpc%Y?b@Vu{+Dq6|JJo#Vh)76cAMzhzA16(RPS-r zPwh~fQE2lC!D-Xm_AK#cno{M?b;} zl;tbKSgA(O9l@-`slEz+-JWZqEVNM3T(bLIt%b~yNDJ6VELPS!yla$?P2p?C zrw_OLRt~O57X2Ay=8fZR*;M{AmK;Q#3N1O|<)*r}1Kyy0&uS0o+II5K#@i$VPnd9v z=`<3u(94Wf*V5=v^vBd*ZriyQ1^Ai?CK;WOo1e=&CKzVZ)b2zHZEibC_g2h8EuoSn zJJzUUscq+Mv9&&mv9?>?TC*N1Q!xGcxcr-G^7CmE(}$AUn_#MCSC#j5e*eT#qkAh> zV-az-kY09;J~YZo_|b`@q?7#1$?EdK|2#2$v;lc(;b~-5mz3rU3>Udgwe9M$n8}(KA{6;-NGnX;)dZ-?;I8rBGxW#B9y%nr6EpOUJ1C`0rQGYx9$2EJsuumceYAA3Ds9G#qck8(pZ@nYAyg zwT2IvT%M9HyB?w=q`H7Qr$i?kd>%0!sxXu5vDh?2uVbh754KE8Gj%)$W8;)u9Zgem zaqqZja`E*kNwV`pydao(-c+OPpTg%)T_$&4;?GXC$-O)Iucp2wpZkCxx%Hr&_W_?! zFjXG7$Tt<(sRc`g$IUn0 zcF*uIWlUd7TJ3T3-`+Nj+BHo(CO2Q;uTJ|;KKWlfw{Q(t9CmlJkO_Z1f^%SGOEsHa%Om&_?tW{R*L^*Kbi zY;K{FC&C?f6e@OhY#7e+nBO9@$<1?T9F+ThP5z3ZJyOrk)D-h4r>Clh(E&4#&8#8A zkoT?WE9LxO^OtTqT$vns7A&bs%I-(s2NfyABI#kX0(#2q-@9_zHEAm-29(79jEZ#t$KI4 zPp*pAn5~f6cp0<6uEyl=^p+z%+%mALY01 z@$eNhV^XLb@tI=3vRW)S`lc0J`4z94`4X11>9gLJOM7^HQIhQF;ik1I+)>m&*WMj^ zm9=Jh)Z;g))HZ8MCcOZ6K_#jp?tjU1PUN}z9^W}TT~heb*(2nBH-CQitMcdXs?F3F z7f8!_PcfI-yL`=@TuILl&6y}S{Rc`U@!mNz(*s4JGrxtMI7K!9vGL((4&TsG>>W2R znwyL7+P2SKCD**eFV0N?`FbvwFTIV|N}E*7Pv7wqx!`R~(pu>7^LaN(iTro-KA1$c zT!2RgpeA|KnuS?Fiy~T~Ve>7*eeOc#D53JBnO}FTrkq*n^i;o6Ygsrjw2p^!Z}f{d4pec&X)_`;MsR(XIC~B zVl#GNbRED8?`|)Ruqc+HnNW(lQeZ&tymRGZQjW5e2(IMOZ9U3%bLVGM5pqNrHXw1C4R7Us$5sk z-z`lV?yoo4T)y{hb@e@&4W5OH!^giVogf3U?|NXk3-7rZhMDS@9J>uNa~|TYchyEV zd#V+bFI;9LvoXm=Y%>0PkOp+wDXpUi4T2t-jkBFywTrk#3oXS(fYMcRw$dRk+_DI_YT&E=RVd8c*6F2R@}ZkXg?PjTDB5y^WA4AW=_a}I zB>!wv(aklxPbfL;XX6*+_L-o5#Ds&tE4O5-nXUV!hRhL+!< z_Qt$HlV{b;_+D>pFMndN%=j&-A*JNr-F(v)n@#H!nk2OTSa7qH;TV9*0}9SH{gz&3v{*bU4&8VvRkJ`)To z_)=kJdoZ{S*!Ns8*rb!(tgkZ|>_SA_i)aW~@NzI{&`YcYm<22g1cUQ{dw^xY7GNc? z{#7&t8~_G@(Otpd72sK*K0;!puLpxUK;_?q!4lxiH&Kq_-$FTH!+XKtI}!Iu%+nnV z_EW|lSQaU<0I&eqb^)3KJ1+)p(P%aP(o99vGMI{FgS8E!5sZ*%{@LDK=^9Vow?I0_*YC^3esBPv*f;iN zNCE!gzRYChml%zx4nJ9{j|HFSAqpS;SjKom=k*}I7#K`VL>NDYv$P+?TM(ax_$Re&{hXesg{9u?#uwWq$E7lhnfh`WQ8At;vKcbMP79TWU!ELp3)^UHp}r%!ul@ zt_PcW!v0aQR9zR2_xiVa{Qh*DJ;v|91#^D={)uwjd46R71gV$z>`#@N_@D4u%42F% zBNBf@rLB*R;*Q#Ash-cl7f_{qb!{d*vLByL{#Nm)tp32OYktG2D*yved^bD5grx{*@;z~;3N+o={XGG*@S zDs&-)I;ry^7yy9{>=N(_ME#}dU+{AkguHD8S|Io_ndJiuAo#JJIf12+D1^5GDVZv=7=+t^XCbi&zXLq~FU-w`fLsOXgTx`6Sc#5;3`IB(SOAGf*a<9!3`4jKxDi5Q zvKm+eNkF(6*a8`j@L6C0LO-@$0$zd8Kyu_O=$m;)Jwume~O zp)s}&SPn@>xEfdkNkO;;*a1mJxC{6WBn{z9z$=i^2wwy0cQS^1BP~6%%jD8cY z7x%|*7>KT}V2o~i(G4%U)n$j!LwC6hkO1{7un(7$dLiAA0Hh7#htxsnf|UnY4sk&` zO==bDH7UnW;0`+?!w=nxvVa>X4$_A!KE04`NPrNPwE_K*I*57+2}n=%xDb|uyF}bM zJUALFl2bR=X`k4_q9|Ee+@75(|H;!4wrzO~>DBNR}HiceH zjRlaOYD$qZ`97ZsH@%+mnWOwuC_ajB_9e@CQxEhcp|)uGni}#n#7MV>qq5XcpivVw z5Q=&tMk)}wu)Dq4XpWjUjVc{RTHw2em?z9pG)2PAoSn{NpD@YuX7CN9*v!Gaa>Fc9 zPgI*aIYM>>io!Zv35TL+3X(bRH6};ti$xeV_Z9OEO{OTCapB~$xfGUE3lr}kFUcK% zMw9IMkqZ6=q=;PR3^&u^|e}3L&i%>C{f41 zcrrQ4vve?wL;0K|X1oTj$ET6+Jz|cc^$ri|5=CoWI85tYI81Aps(V9n6fIYH)ciT? zDL9POkzU(-XqDfe@pwbPyI!fdzbJd$4rqlT-vtsSC6Gf)?tFlM+@r=mO{a3#7czVP-EFdqRnBgAQU4c*oa zaoS<&UN0R3C_nA8*!rL?Jvw!#WiTzwX}3lFgPe9;+zBB|>Cvg%E@t>3PgLW>7kYK- z_8Xd+q5MgqbRREz`kwS~I}&zv+G@k?NZ8r4z~Rg{o;D39M^Sqc_UvUqT)_F}>D=)( zqERZn3(;kRd>Hepr9(>MD+~4nxRWnFX?7b?nI4QPdjn|D@b|$JHT*KTY8nbiI8}TB zo})<*f~RTtFf{1LE>Gtb2`8I8aJwdbmV|>)mL_5mG6Yb7x`hfn2wnkBr!nFOz$?M2 z8;QRRZqbx~2VBwc_rYm7qwyf){6%;FYEYiKjmi&~VF`Ygdhi*Oo0hkFk*^d-!8{yD z&I!H^JbXZ*dTPP-*t!*wejGdtJY2pLoVIqH2Gn-n1*f9|bt0Aj7@Up@^pZjRTN#d^ z0|uBH5e18Bi>H$z^~@-6S}L!J0ygmC+i}{1K~zCLxCi;8MgFDWdo+&N2417#kAv5N zcZvM3(Y-XfC`Ye4)U_WVgB=(0ZWde*NA(qBP=Ql}Bf-xhf0IZr0;i>v-Zv<{6r7gR zHj)26@QyohS`_IXa9Ziliu8InBF-WLZK@Ug47|MYhDEP~SAbJF^2o;sRBCuc1UvxV zCDO-(yEFzYqjapeq%bLXA2_YX&4RlhMnstt(?UcX1n*yrL%QH6z&nswEfo9(_`Dey zuOht*yj;@-AAwhBTs{C!I~d&?CIyB^h7NYdP^_9ju^CmpmcbwPL%%wybfIu5cydYV-9%4qViEJ37jr# zs)N>z3U5Zla!sEu1$TiPM1gv6x)Z4uK>mLPk6Qr|3cLnxycb@<;3Wgzq;z9!cSimDbCLz_s_L4}*7$lP0A< z15T$tBqEGmkQ|1Kif5qH{*b=_@6n!zV{ggQ= zd=#b{4k9gdahyMUYN|VYa;BuOkmM4lV&XA)%P!aOWbp9efd1LW4DQjSPoQ)Sp8@XI z@KW$L4c|V5=~!SJU(=TBrt`D{SJGYfpCezlCg0oO;WGgJQ_p4aUQPNn@IDP6N$Wmd zCFmhLGQic>3&Hci>6L>XN-v7TKQhpJ2t5?B6d4>Ez7E{UC!IDYxHPfNh%M)WV{-Ru@?OBs z-KXK7(N6v#X&uu0ui)y-n&3ll5}+42ddRL6aC)huhxkq4^rA-(@u}cW4WAv)_$Ozk zC%80mr;w+dPim+CU|HO59`4bk$v6Pw;_xHwrU`YL=!q1q;YHxuf4Z6v-u57Wzun{x zXtF(u=xz=7f%j^73wWP~zYI>V!t{_eJ>cr=vEWz1wNtbooL-^nq5P2s498A|KiH9r zd2^z}oZ!%;-j8L}$$#CEaWgFlG)zw-#-+*e5;(oP(?j+B3f#lTJZE;-X<~f5d>0xra4@E!wqnuX{x@G6vRH}DH4A)4rHq%FSQ z5c_-N@{tl{^Lh-iZq)5I@LFhJYT#Q@m&?F!1$P>FJ-FS#--T`#1OEl;69#?+=7`?$ zLa_VU*j-Ry;QnD_-$8*s1K*Ddd+2P`=xd$mD=x7r)Mt7T3qH@nur5OhGiq!%a2wK_ zC_TEsyE+TT$yz=^$$CSsLc4({pk0fBuS79n;HQv2P&sVvN|f(2@Uv{af!_$; zW#Eg2Ab;$n__Q1$da)Ssz-)vs+UabpO=+Sp@YzrCqipH*hEgsb7zTb6+(Roy*^1r9 zShQ7Y;GcrK4E!_L;xzE**!Ief6QicZcu%5Vp@ujmu}fmN#5X1ONbHsPfyDC?FGw7a zI4JRwMDb{-ooI=%A@qtw8Idf}E>V-1C($XfP-2n95{Zi>mP%YLalJ&h#0rU9betnZ zrHt4v(I>H5Vy(n_i2;d?jP$3Z-%(+*C8eE0_MMd2C9#{fCXY?&lkB`i%pTqAgY<0j zSg++^h$Tw2OVlJfB~F#-l2{_qEwNl;g~TlqDi zR*7vAPf9!`u~TBVMEheQ12u_x5}i7_(FZc3R${%xgAxN0+a$J2?3Q?5q8Y~y9?d_( z3TxUUMA<&Zjcmo;F#n{)YCXIpWuU)0my!_moNVHTb*koss=3 zdLeC`>imXgud_$>kYHZ51R`^hohIwCP(I%W}_{=@(+A8%EWc-MHVWBWy}qQR8x ztM=#k_tZuRm*r$tgWn|Vqj|lE7IssPpH7U=jmsIzy2qarpwGrzXI}a`TzZe+EKHg` zP+V&g^DN1}z5Zy?$HoXPa0_a^rI7hZi%F;=t*HBJDz+|<5*@Z?s3l+1 zI(x==6_sg7O-E&@Fegs96X6Wa1SulLHcN@1Yi-lL$-X`QJn@R(6)36Yu$W*Lg@Jjs zK8snTTjmR&T?LkiR54EsDsG`6Pn@u5IpTz06VLh+l3B&QB~J_A0+-iyj?+yR`+`N@ z;&a$+7VH=H1;V11Tm>CQ`sSf7q1r{eZk$uRL_bK6@fHO#>bM<|g0_R9T=Z`= z7geQHPfZr(;x(H|SnPZ*E!OsCm_;V?al0BEh4RO^eQvwd>psWM%Y)NckFxLSQ7%ey zej0RJM*2#Gz3NfF=6i_#Xp5ind!cF;@26Vnjuy?m{XMNKy!2}NW{y^BXot2??fK$=x4yOkdR3dontiL~jw(Rw zr8`bLy5ahMnv`Wz&d`dig(I|ptBu`_>2f41cTDe&YHcwl825^X3Fm_1AJ9ixt0Hp( z>M>`4W;#;UsR3H!m^~^VRdP>qwf*$8BU5Rl(~c$T`v0JW>}~4#&uL$Fu~!Rh)Eh{z z@d>Uq-R=_on7-VoDk3zFdscCo)0*yc+1WfEiZ7ij?;QE7t`Bam`e>_e{=SX>`=ijz2Km5C8ztiF&@N6>Iws% zwrkK}vhPvr+v{-4~1bzReas_F`q9xH_%>4jaYf+mDHQg0?~ zYbJVxRYr4k{e&^z(TYh6&6V;x9!?f<^muqqUE2Mru9^_#Lvt#>EXV?RX7ykMpvLSQfF~ZEPE-s7tj_<@J6Z&vx?>ed3nxn6Gv3 zh;(oxmv;Y4cBqltphUI56Ag`q&jLHEb;H<5sRR||rK3}Q^xMf}qIiL{2VC^;6UP0} zr}tI_n&sy87SVi`oJHYQxkYY`TV)Nt-)bCMZM#CN8*-OjZ$VulOCJ1=C80q*U)S_X zmL#FhiYwGvU?0DBiF6r;EQaoba!D(%`xbVT?zmN-FkyYe%byU#UK)wI6R%WvUiw*k_*%_3@Q_b`|O_ zx>DVF=~MDB&FobNpzmIP+hvzU^VP`uwYn%-|E1kgSsIp@9CItC(I-@pn-VbsbuOhZ zatkJM4Lqul!(WRUkZY)h7()%RBsJxkl`<~5YOA!%=)?c`2R{6Jebp_eX}GV>W6FnT z&zyp*<6cKQ+*iCs#(qNk3W|A)e5)Xz7yruzGgFLfW1E7t@sz$c`kQHm(`MyG>_aSo zg@JOtyLrV;_L1L-E_d&sI%j?oXVC(C+ju6r0`6nQSlh76zU^F);0kOUTF30>6G*1q zNse4D@lnuaLDcckZupS&T6Ntq_<)zKbZ3{z?xmfR99R>do;2P1b0p-z%|cYyaYt)% zX|a26Ljn5hB&$+ERnrQnWU^%;FGkPn-W<;CL}4D~IkI5)j!pV3wtE*!k3EF-Xs^B= z1$LHeSd-|L=~t6|VkEsaIb#%SeHU!ASMBk?LVue)c0A8nEl}FVYB>$+@OsltlctPS zlYc}nPtVt@*gYj4e$i$Yqb^ zo^njJ#x;M}H7loPsAW58+tkHzFMT)Vt*Q4YeKhx)S;|l7fosN%3RSvLx3-H~t|?IL zdffxpq^b4*#Z8+$mmPKnwS+zU|K_aF;;k>EaQ%i9w&xbOh>teB<(<(N3SS$MGGkm8 z_f&W%3NJT8u+u~J=*yelg%#72t-5pdiFb5pa6AGHL$%Q1=~jIE$^G3wJsO7#G!XaQ z2FO~NM80WG@@1KGdGjz~DqzCah7Vw&U9zO^1-;hr>60EU%rN!r)DM_kl==#zQ|tks z9RApYst#hlH)BOOa{Qs8c8Awd%AJvK!Zf5gg%ikK5X~;Gn~|grypI z4>Q)O>))rDGaahOOB-jtp;o*{`LiBX&%H~p&YGzP-lfa4koGP)igMMGcj?6?8FXe- ztctHU_Y}=kJpp>TXxfMzoO0T*1Xz4@x#*^ZFy(V4k3vkh z>Y{gO`J9a6`hdD828V}k48COF0e?RZ(gC~-T)@l4dAwZo$rptI@shrS)(6avuP|8o zEsXOw2I$0`$=-^C#ab=TM|ij?aElh0Rjlm-XOFw6So0vP3bp9pmEx&e5Wa+Xx6JRp zu2@?y^Y)^iMKZn{@g*``iTYeJd~R{EHdThTrNx?49JvZF30N2qnS+Y+eDreh;`~M& zwapQVJ7m$8POE%}Xl z@waq%ev;bvTROHWm3rn6F7A0N^yZzO#Ly>SJCzl@|4LuHE&Ve z!VD#cCM_JTR{Lnd!dKPW|D!jfEh@s7dN(g3wdqaz>!N(6lCrLwq87c05=rE_t|Y^` zFm(90icfCQWOB~86n!DtF z^@GxBwyjL`58%L~hBpXNg0G(c8Q$0kVtSC-`KKitL7H0FbWV6d&quDEG ztCf$@?v*dAWv^lu=8md-OmnoZ4E0Vg-M1<`rt+~#jt_kkvU)pOR=uXEd0lkJ4b#xS zPv5XsZG45ky&)ev-h?&T$~juGW}GrWcdoftJ^3;{xHcbA@oOjI_k)ktj-#@*7B#kt zX0OH8u-2?v3^`OBWoNHVrAuoywels(D4VG^5#3all+aEVhbQok13x!q=TxoKN(1y@ z*<=;)V%a?jo^mNM~}zvI2%KL{||3VU0T(mkHW&LZU-L? z%jMjMK5;jtCT(eGI+l!WWETePS0+{CY@P<1eNz(pp~S(vWgANDqML8ZoEEMt-RTlv zUUnyOeKXLVtQxQQ(ZX`fjg>rBsHk)gemNYi)jmcq-ZVRvvz);eyrto2-Rmfl<9l5H z;->m}O4u-s=g)?5F{kFNbmaN&b#!*zx#75?Za+!Zn^!8kXzR^W;Fp%0Z%g61#oHX8 zF?K<(YRs|qu&}WsYvWT2zArg_%Lc_me=EpgcXt@P17W7CmO_iZmULcX?ayQ(ju{~0uCBa=&nZeT(abpDw^=aPTcb?&{A z&i`DoN?LjzrQS7^DsQ97w|^T~(Xg>DZAdkmdB-$3dg~q2C%3K&%~Fg{EM=YOZ;Dk@O?kt{w)3cu?O@M!{*2zc;|?`>FD=+?)%{R}&cVuy&e^(ooYL5_fAeSs z$~?WrhRIacxt@U~%&*}v?riE7$E(ayUTT%A^p?|Z~E`mx0+ z{wZ7cDzszIvL-wz@`l>|{H{4%smG?Y(XzX*$6@lxyO-#_v2@7f`L*-D(;E?n> zF9&^)CdeS9KlXCaJ(9NVUbl{if)J@L9fanZqCa2a*B*ZIU9WwWv)=#e=aNr#iLA@P zSV%HNgE%2YkVTNy5I5w4hGw*m5#F1kyyqSd1_O#BJ^;1>&jY)F{lIg;ak#BNS11IvC6Yn*SvjTn*G-M>&pv1Lc6*e;W+G8L?Rr?w(+9kTafz zWs$fX0xSa7_d-)(<9oqiE3oIPt=p!@)Z2veI z^Z?7w!?VDPpF%;PMrR+IIA-c&mxIxv&g0XHmaBdGI z2XTcQfro#wsD>=1n`_2pwIhXZJc!abXKB2}93O9rPf+9eGJ~)~x*>QEuF1q%>vWBc zk3{(FqKJnJFypUz*cMrM_;Ro}iq<`xuY5ocKYTsb$af#kR`N*Mm#vs-!oE!Wz`JZ; zc8d9z7-Fc-4B^KP!H*=uO#Am`P8vWez9Sn-#dop-zwC=k-;1{+J_qs9^bu-)lOi6m zDHh6lBvZZgGA(|@s?^f$k8r~W@YzZ);IoN7dL&b+r-<5#nC_Emvm?sixEySzs@kz5 zxVmoGfBp^HU7Mk-r>ASDD~a@O?G&~DcNDjOGHji@e;hWzAL6r+cI+P)G1$wc59}LD z?fav##lN7Z{NMfA@X_D!>7=Z>%!tB2%3K}gb)D*gKC?FwzuB-rdy)4e^dp2_zZAF{ zVnWyh+zw$U)d1@u_(5B=0^10gXgTx`+1v~?}3gL6W4;av}S(I7|?$U$HuWGuq%z*7(&8oj_iND9J(z)O%+gwu8l zp+UwWJQY|3Nke!ka5ZE+!drlq5G%sfz*>k6;bveP#Lh12M4+1{9K6jt^~WeOE95vN zh*EG%X{d9Umx0%p5AzD}id%>Ic5v6GVQ#NRXWTW+Ymi>Dd6);llW!a1xuO*jmV1UG zL??J>#V|j^ya@cj*|E3sPr>~2Z!aP%5Xr-%4`K6z&{FbPgsXiRJ_|#YIKj&xp1C0_ z-3a?2c`|q7ONq^BPrO?|105~3HN1caZ}sLi>M&ZZVec<}qOdf@EnQQgqw zJmefof54?8dVR2-Lndf?;Oux4ltme*7xAj#AEq^Vhi%wESg;+}Mj%~~c1RP1pL(DV z(uIEY0Ns#MhzsI`*dZ2(fbb=+0o-5egY-Z;t$G#uRju~>G3J^O8GiU?Rz0{6;(@p! zr4Sdy3E_)VcAy0!Abb&OK;8u!EC|)o2i_y&&LF=z+#urGAx)5ah!5iN;=>Ioh4AB& z=#la;3lf_dD#xF+K9II9d=n=e z&9`vcD?_YFV!u7a`TmU$;*s%gU@61}aYF16ez;4!#veGFM3#m#YQLRcY_LX!S~k%u z4JlE4(-2DaIS zP$)1I$}0+|Rt$ye^-$>(G4#R{wy4h8T;Nru4_hQvDM#k^0!z?NFTy!_BP@hoX3f>9#$Q5>>fKh8t2;_jR=HNoy2OsBrQ* zTz$fjhlQ!i5_Xb`A1+=*`vc2#u#~bI>bcTzE7}TLci0-$x{}KUY0jaPsMb{+4teR^ z4dIOCYv?^>T)LJMP4wa6l&FE>Ft))NPuZ|--H1>8g#DB)idQo{?CdCB$--e?#bj7b zzKv23*&?wF$(X3d+c|g@E25Tcrgcr$C|>5cN$*oBs^y-JLrs5C)zY<8chnlm!^2)l zCyr)B>X%%1(0fNSVoGt}m8Fp)nr#vK-C3H@oEaIqTT3gOGa@e5;nYJr5SfgHBH2$z znlmEp2;%n18wlngh|AGbKvcb}pW&p6b7dx|E9U>%^Rh4uiNo~NHZ^M*G(z)kvY2|MLo zU6a0JhV0PI*LTg(P2dpcos;hj^Y#w+=({KG48yPx=N%M#0JP`Fr0=5e))42N6xU4H zQhrSOc8Xay#1r-S@MU3>zO9C4Vkm!7C_O;aT5rk-x1*pP-a^CeDA=(v|Jj+(w^|d} zQQV$_y_jDdaJ%P|*8E9nvQaKwh3G+Pg#xSK`XMFp?Sgm$yo=`l)aK1$|ATR5zXsY3 z{2g%3z&`?K(|kAqDL5_s8Qf(^M%w{iId z6{h2tqfI}vdU;(thu9^ozZqOxDg^fsr~ANpg+C?J4|)-iQw$f%49|f38ip-8 z4PFBd&^_`o0<{Jn5djZ?cgyri;2whk>%e)fWrbOR&EUKaH%sn)01@X(u~^86N5RY2 zVEjqm0?Q;QF2>HGUhM?>(}{JpKR3>DcG;dG@N+ky8)W%EgEyfI zx@3M41@FQmcAbwEN#J}FR3EgsS1YbY#1=!Jt_AmiTV#QQ;C$gSG|Cgj&%t+X!0AaU z@EUl{&2TFQFT414@J4umyOcekpdjz4z2Kal2+q4Ib1z3sKm>2C;SAS;^9w+;^uS6k zU{LTr@NxqWfb;u6pKPENoHyfES^gL}zhE>;1-rp{XU;QW{<8wVM+CoMgc}?L=l6)P z%Ohj(Vr1Z{;C!;Tqe1SHJa9hchq()!cUXQDHAyT351;B4H9@QckJXcD=kXCMjenGK zJCed1E3cP3!TB`F5A(;tT?YPBa6WbN!|5-ByDRCPx7~Ug({76o z{{;>I#mz*-_S5`!zG!}HyDh2RP~uUfg)XJjq4t^HE<@6nNa`{07`#3A8F&hK_;A2~ z$YTQ^Fr-iBbR5U|VH@UwTMWDm+-~6ajT9!~ypPsAoA2d=v<6r5J^2@qkB{~Ia6P}_ zdJKOs^bt58{rTbaOW^h|J{flmdmH}D0q_#=rXL&RESXgBZ*@Gi|llC{$37lzn^}6((2_`QhvDDLx|?rRDPH@fR`G08@SuRUjg?R_*rnDfnNYO zPSHW|dP91o1;cT57HxViAM@t1=WOxqhSWQ;jCRrK=Q6M6FArJmR>brea=Z-QXW(yx z573zBZC-wB=ZCErg$+f2lb3uPxbZL7?BM*i&kyI%1Lto5_+efM?lSO2;H3s$2JSZS z&GACTR{q@cwsfB%x*ge!&iDm*J$?0j!K5ZbuCZvb-M}Y+cNzE$@E*G17q)~xL+p)+ Zb!znBFaAbnPK~FkQ}a9ibn2@3{{oF^MY#X~ diff --git a/lib/python/Plugins/SystemPlugins/FirmwareUpgrade/plugin.py b/lib/python/Plugins/SystemPlugins/FirmwareUpgrade/plugin.py index 28db880..f3c28a7 100644 --- a/lib/python/Plugins/SystemPlugins/FirmwareUpgrade/plugin.py +++ b/lib/python/Plugins/SystemPlugins/FirmwareUpgrade/plugin.py @@ -102,7 +102,7 @@ class UpgradeStatus(Screen): self.cbConfirmExit(False) return status = self.FU.getStatus() - if self.old_status > status: + if self.old_status > status and status != -1: self.session.open(MessageBox, _("Fail to upgrade!! Retry!!"), MessageBox.TYPE_INFO, timeout = 10) self.slider.setValue(status) self["status"].setText(_("%d / 100" % (status))) @@ -143,7 +143,7 @@ class Filebrowser(Screen): skin = """ - + @@ -154,7 +154,7 @@ class Filebrowser(Screen): Screen.__init__(self, session) self.session = session - self["key_blue"] = StaticText(_("Download")) + self["key_blue"] = StaticText(_("Download the firmware (latest)")) self["status"] = StaticText(_(" ")) self["file_list"] = FileList("/", matchingPattern = "^.*") @@ -237,7 +237,7 @@ class Filebrowser(Screen): # tf : target file name(string) # bd : target base directory(string) # cbfunc(string) : callback function(function) - def doDownload(self, uri, tf, bd='/tmp', cbfunc=None): + def doDownload(self, uri, tf, bd='/tmp', cbfunc=None, errmsg="Fail to download."): tar = bd + "/" + tf #print "[FirmwareUpgrade] - Download Info : [%s][%s]" % (uri, tar) def doHook(blockNumber, blockSize, totalSize) : @@ -247,13 +247,17 @@ class Filebrowser(Screen): try: opener.open(uri) except: - self.session.open(MessageBox, _("File not found in this URL:\n%s"%(uri)), MessageBox.TYPE_INFO, timeout = 10) + #self.session.open(MessageBox, _("File not found in this URL:\n%s"%(uri)), MessageBox.TYPE_INFO, timeout = 10) + print "[FirmwareUpgrade] - Fail to download. URL :",uri + self.session.open(MessageBox, _(errmsg), MessageBox.TYPE_INFO, timeout = 10) del opener return False try : f, h = urlretrieve(uri, tar, doHook) except IOError, msg: - self.session.open(MessageBox, _(str(msg)), MessageBox.TYPE_INFO, timeout = 10) + #self.session.open(MessageBox, _(str(msg)), MessageBox.TYPE_INFO, timeout = 10) + print "[FirmwareUpgrade] - Fail to download. ERR_MSG :",str(msg) + self.session.open(MessageBox, _(errmsg), MessageBox.TYPE_INFO, timeout = 10) del opener return False del opener @@ -298,12 +302,12 @@ class Filebrowser(Screen): os.system("rm -f /tmp/" + root_file) # md5 - if not self.doDownload(self.guri+".md5", self.gbin+".md5", cbfunc=cbDownloadDone): + if not self.doDownload(self.guri+".md5", self.gbin+".md5", cbfunc=cbDownloadDone, errmsg="Can't download the checksum file."): self.resetGUI() self.downloadLock = False return # data - if not self.doDownload(self.guri, self.gbin, cbfunc=cbDownloadDone): + if not self.doDownload(self.guri, self.gbin, cbfunc=cbDownloadDone, errmsg="Can't download the firmware file."): self.resetGUI() self.downloadLock = False return -- 2.7.4